Get critical insight into innovations
We use state-of-the-art facilities, proprietary tools, and highly experienced technicians and engineers to reveal the inner workings and secrets of consumer electronics devices.
TechInsights has been providing technology analysis for over 30 years, enabling our customers to advance their intellectual property and product strategies. If our library does not currently have the analysis you are looking for, we want to speak with you. Our custom analysis capabilities span the following markets, devices, and scope.
Custom analysis - what to expect
1
Speak with us to understand your needs and offer suggestions as to how we can help.
2
We help define the scope of work and analysis of the device (for example, the number of layers, poly die photo, functional block identification, process node assessment, etc.) so that we can provide an accurate quote
3
Receive a formal proposal for review, discussion, and approval
We encourage you to search our library of analysis, and if you don’t find what you are looking for let us know and we'll contact you.
Markets
Devices
Search our analysis and website
Recent News and Blogs

Semiconductor Manufacturing in the AI Era: Who’s Leading the Charge?
Join us for an insightful webinar exploring the key trends shaping the semiconductor equipment market. Explore key trends, market leaders, and AI-driven growth in semiconductor equipment—plus a strategic 10-Year forecast.
Chips, China, and Consequences: Navigating the Impact of Trump's Technology Tariffs
Explore how U.S. technology tariffs are reshaping global chip markets in Chips, China, and Consequences, an exclusive TechInsights eBook. Available only on the TechInsights Platform.Narrative: Global Smartphone Revenues, ASPs, and Price-Tier Forecasts – 2008 to 2030: April 2025
Explore TechInsights’ latest forecast on global smartphone revenue growth, pricing shifts, and the expanding dominance of the premium tier, amid ongoing trade tensions and market uncertainty.Insight: Tariffs and the Coming Downturn in RF and Wireless Components
Stay informed on the shifting US tariff landscape and its potential impact on electronic products and semiconductors, from wireless devices to radio components.Narrative: Global Handset Sales Forecast by 88 Countries and 19 Technologies 2003 to 2030
5G leads global handset sales, while 4G shrinks—Middle East & Africa remain key growth areas for 4G, 3G, and even 2G/2.5G handsets.
Maximize Wafer Efficiency with TechInsights’ Die Calculator
The Die Calculator from TechInsights empowers engineers, procurement specialists, and finance teams with the insights they need to understand die utilization and yield with confidence.
Trump’s Tariff Tensions: What’s in store for the Semiconductor Industry?
Join top global experts in this free webinar to explore how semiconductor tariffs, export controls, and trade tensions are disrupting the chip industry beyond just the U.S. and China.
Earth Day 2025: A Progress Report on Sustainable Semiconductor Manufacturing
Can the chip industry scale innovation and sustainability? Discover the current state of green tech in one of the world’s most energy-intensive sectors.
TechInsights Tariff Watch
Stay ahead of the curve with TechInsights Tariff Watch, your go-to resource for timely and in-depth analysis on the shifting landscape of tariffs and their impact on the tech industry.
Dan Kim, Chief Strategy Officer, TechInsights
Dan Kim, Chief Strategy Officer at TechInsights, is a globally recognized semiconductor expert with leadership experience at SK hynix, Qualcomm, and the U.S. Department of Commerce, where he led strategy for the $39B CHIPS for America program.Insight: Tariffs and Trade Restrictions Fuel Uncertainty in the Sensor Market
Explore how tariffs and trade restrictions are reshaping the sensor market – uncover key challenges, supply chain risks, and impacts on major players in our latest insight.
TechInsights Appoints Dan Kim as Chief Strategy Officer
TechInsights has announced the appointment of Dan Kim as its new chief strategy officer, effective April 21, 2025. In this new role, Kim will lead TechInsights’ strategy and engagement with corporate and government customers across the Americas, Europe, and Asia.
Unlock the Future of Advanced Packaging: A Deep Dive into Hybrid Bonding
Discover how hybrid bonding is revolutionizing semiconductor packaging and driving the future of high-performance, low-power devices.
TechInsights’ NVIDIA HGX B200 Analysis Reveals HBM3E Supplier and Advanced Packaging Innovation
Explore TechInsights’ early analysis of NVIDIA’s HGX B200 AI accelerator, revealing SK hynix as the HBM3E supplier and showcasing the first commercial use of TSMC’s CoWoS-L packaging.Quarterly Assembly Packaging Equipment Regional Sales – April 7, 2025
Analyze Assembly and Packaging Equipment revenue sales by region, including China, Europe, Japan, and more. Access detailed quarterly and annual sales data by company.
Inside Blackwell: The Secrets Powering NVIDIA’s AI Supremacy
Discover the secrets inside NVIDIA Blackwell B200. TechInsights reveals exclusive insights into its architecture, packaging, and HBM3e memory.
Can Intel Reclaim Its Crown in the Semiconductor World?
Join industry experts David MacQueen and James Sanders as they uncover Intel’s bold strategies, leadership shifts, and cutting-edge innovations that could redefine the semiconductor landscape.
Rethinking Water Use: Sustainable Tech and Operational Efficiency in Semiconductor Fabrication
Discover how the semiconductor industry is tackling water scarcity with advanced recycling, sustainable tech, and efficient water management. Learn how companies like TSMC and Intel are striving for water positivity while balancing innovation and environmental responsibility.Narrative: China Smartphone Shipments and Market Share by Model Q4 2024
Discover China’s top 100 best-selling smartphones in Q4 2024, featuring Apple, Huawei, Xiaomi, and more. Uncover the brands and secrets behind their success!Manufacturing Database: Summary
This report highlights key findings from the latest manufacturing database update, forecasting a 38% growth in semiconductor capacity and a 71% increase in production from 2024 to 2030.CAPEX Forecast Q1 2025
TechInsights' latest reports provide insights on global semiconductor capital expenditures from 2010, including forecasts by segment and a 5-year outlook on sales and capex by region.Intel Lunar Lake 16th Gen TSMC N3 I/O Tile Digital Floorplan Quick Look Analysis
This report provides a Digital Floorplan Quick Look (DFQ) of the Intel 8PUSC I/O die from the Core Ultra 7 258V (Lunar Lake) package, featuring a 2.5D Foveros assembly.KIOXIA FXN5_512G 112L 512 Gb TLC 3D NAND Memory Floorplan Analysis
This MFR report analyzes the floorplan design of the KIOXIA FXN5_512G 112L 512Gb TLC die, including process node, foundry ID, critical dimensions, and die cost insights.Silicon Battery (ZTE Red Magic 10 Pro) Battery Essentials
Discover the structural and electrochemical analysis of the Amperex Li3934T90P8h623486 silicon-enhanced anode battery from the ZTE nubia Red Magic 10 Pro+ in this BEF report.Yearly and Quarterly Assembly and Packaging Equipment Forecast – March 19, 2024
Get quarterly insights on the Assembly & Packaging market with forecasts for 5 years. Track trends in Inspection, Dicing, Die Attach, Wire Bonding & more.Narrative: Smartphone Application Processor Market Share Q4 2024
Global smartphone AP shipments fell 9% YoY in Q4 2024, but revenue rose 12% as premium chip demand grew. Explore market trends, AI adoption & vendor insights in our latest report.Assessing the Impact of Trump’s Proposed Taiwan Tariffs
TechInsights analyzes the projected economic, business, and geopolitical impacts of the Trump Administration's planned tariffs on Taiwanese semiconductor imports to the U.S.Microprocessor Report February 2025 Review
Explore February’s key tech highlights, from AWS’s Trainium2 to Intel’s Arrow Lake, plus 77 product launches and major advancements in AI and quantum computing.Tracker: VALUE SHARE: Global Fitness Band Revenue and ASP by Vendor by Price Tier: 2024 Q3
Fitness band revenues slowed in Q3 2024 as demand shifts to smarter devices—discover the latest trends and key vendors in our exclusive report!Insight: SK hynix Withdraws from CIS Business Amid Rising Competition
SK hynix exits the CMOS Image Sensor market as competition intensifies. Discover the factors behind its decline and strategic shift in this exclusive insight.Tracker: VALUE SHARE: Global Smartwatch Revenue and ASP by OS by Price Tier: 2024 Q3
Global smartwatch revenues remained flat in Q3 2024, with Apple leading watchOS and Wear OS making gains. Explore our report on the competitive battle in the sub-$500 segment!Tracker: VALUE SHARE: Global Smartwatch Revenue and ASP by Vendor by Price Tier: 2024 Q3
Global smartwatch revenues remained flat in Q3 2024 as new models balanced pricing pressures. Can vendors drive growth in the sub-$500 segment? Explore our report!Narrative: China Dual Credit 2023 Results
China's PV market hit record CAFC and NEV surpluses in 2023—BYD dominated, Tesla led global OEMs, while VW, GM, and others struggled to keep up. What’s next?Toshiba TPD4165K HV SOI Motor Driver PMIC Essentials
The Toshiba TPD4165K is a high-voltage IPD for BLDC motors, featuring a compact HDIP30 package, built-in gate drivers, and advanced protection for efficient, reliable performance.HiSilicon Hi1105-GFCV150 WiFi/BT/GNSS/NearLink Combo SoC RFIC Process Analysis
Discover the HiSilicon Hi1105-GFCV150 die, uncovered inside its component from the Huawei Mate 70 Pro+—a glimpse into Huawei’s latest tech innovation!
5 Key Trends Shaping Automotive Electronics in 2025 and beyond
Join us to unlock exclusive insights on the key trends shaping automotive electronics, from AI and EVs to semiconductor demand and automated driving!
Hybrid Bonding –Tomorrow’s Interconnect
Gain data-driven insights into hybrid bonding’s impact on semiconductor packaging.
Apple iPhone 16e Teardown
Discover the iPhone 16e teardown! Explore Apple's cost-saving design, the new Apple C1 5G modem, and key differences from the iPhone 16.We appreciate your feedback!
We appreciate your feedback!Thank you for your interest in our The Chip Insider!
Thank you for your interest in our The Chip Insider!TechInsights at Mobile World Congress 2025
Join TechInsights at Mobile World Congress 2025 in Barcelona as we explore the latest industry shifts shaping the future of mobile technology.
Global Smartphone Market Outlook in 2025 and Beyond
Join industry experts as we uncover the key forces shaping the smartphone market in 2025. From AI-driven innovation to shifting consumer trends, get ahead of the competition with exclusive insights!
Leveraging TechInsights' Power product to develop a targeted sales strategy by identifying key areas for product enhancement and pinpointing gaps to address, enabling successful sales engagement with a major Foundry account
TechInsights’ Power product provided the Capital Equipment company with both the process and process flow information they needed.Amkor Technology Q4 2024 Earnings Summary
Explore key takeaways from Amkor’s Q4 2024 earnings, including a 13% QoQ revenue decline and challenges in automotive and industrial markets.
iPhone 16e Debuts with Apple’s First In-House 5G Modem and Enhanced AI Capabilities
Apple’s new iPhone 16e introduces the C1 modem, marking a major step toward modem independence from Qualcomm while delivering improved 5G performance and power efficiency.
China's Chipmakers: Driving the Path to Semiconductor Self-Reliance
The rapid growth of China’s semiconductor industry is reshaping the global tech landscape. With strong government backing and a surging domestic market, Chinese chipmakers are making bold strides toward self-reliance in a geopolitically charged environment.
2025 Semiconductor Industry Forecast: Key Trends & Insights
Discover the top semiconductor trends for 2025, including AI-driven chip innovations, memory market shifts, and custom silicon advancements. View this free TechInsights report to stay ahead.
Chip Observer: February 2025
DeepSeek’s AI breakthrough shakes the market, U.S. tariffs and CHIPS Act funding uncertainty disrupt supply chains, and TechInsights uncovers major semiconductor advancements.
DeepSeek Surpasses ChatGPT
Discover how DeepSeek’s innovative approach to AI is challenging hardware reliance and setting new benchmarks in generative AI development.
China Enters 2025 with Big Memory Breakthroughs
TechInsights reveals not one, but two advanced memory developments out of YMTC and CXMT, and their impact on the semiconductor industry.
Year in Review: AI Continues March to the Edge
See how AI progressed to the edge in 2024, with breakthroughs in edge AI chips, NPUs, and 64-bit MCUs enhancing performance and efficiency.
The Software-Defined Vehicle is Rearchitecting the Industry
Explore how software-defined vehicles (SDVs) are revolutionizing automotive technology and industry dynamics, driving centralized architectures, ADAS-cockpit convergence, and new partnerships across the value chain.
Robotaxis Outshine Traditional Automakers in the Autonomous Revolution
Learn more about how robotaxi companies like May Mobility, Waymo, and Zoox are shaping the future of autonomous vehicles in 2025, while traditional automakers take a backseat.
SoC Design: Insights into Overcoming Challenges and Driving Innovation
Unlock the secrets of successful SoC design! Explore challenges, strategies, and innovative solutions in this on-demand webinar. Gain insights from TechInsights' analyses to enhance collaboration, de-risk strategies, and drive innovation in your projects. Watch now for free on the TechInsights Platform!
TechInsights’ Analysis of AMD’s MI300X Reveals Samsung HBM3
TechInsights reveals the first commercial use of Samsung's HBM3 memory in AMD’s MI300X AI accelerator. Discover how this breakthrough impacts AI processors, memory technology, and advanced packaging.
Innovations in Logic Scaling Performance for Semiconductors
Explore cutting-edge innovations in logic scaling performance. Discover nanosheets, CFETs, and next-gen materials shaping the future of semiconductors.
Leveraging TechInsights' array of technical analysis and cost models to gain valuable insights into advanced packaging and interposer technologies
This organization develops products that enhance high frequency and high voltage use in low-voltage transistor applications, essential for Data Centers, AI, High Performance Computing (HPC), and mobile applications requiring low quiescent current.
CES 2025: HL Klemove & Harman Unveil Integrated Automotive Platform
Unveiled at CES 2025, discover how HL Klemove and Harman's partnership is driving the shift to centralized automotive architectures by integrating cockpit and ADAS technologies.
Join TechInsights at CES® 2025
TechInsights is thrilled to announce that our expert analysts specializing in Consumer Electronics, Automotive, and Mobile will be attending CES® 2025!
Five Key Trends for RF/Analog and Sensors in 2025
2025 promises transformative shifts in mobile innovation, driven by generative AI, 5G advancements, and evolving global trade dynamics.
Five Key Trends for Power Semiconductors in 2025
Discover key trends shaping the power semiconductor industry's future, from AI-driven data center growth to advancements in SiC, GaN, and China's expanding ecosystem.
Five Key Trends for Wearables in 2025
In this blog, we explore the emerging trends driving the future of wearables. From the resurgence of smartwatches to advancements in sensors, the rise of smartglasses and smart rings, and new possibilities in virtual reality, the wearables landscape is undergoing a remarkable shift.
Five Key Trends for Automotive in 2025
TechInsights has identified five key trends that will define the automotive electronics landscape in 2025 and beyond. These insights are essential for industry stakeholders navigating this rapidly shifting terrain.
2024 Automotive Software Survey: Key Results into a Rapidly Evolving Industry
The 2024 Automotive Software Survey, conducted by Aurora Labs in partnership with TechInsights, sheds light on how the industry is grappling with these rapid changes and evolving consumer expectations.
Five Key Trends for Consumer Electronics in 2025
Explore the key trends shaping the future of consumer electronics in 2025. Access a detailed, free analysis on the TechInsights Platform to stay ahead of the curve.
Semiconductor Sustainability – Eight Moments that Mattered in 2024
Looking back on sustainability developments of the past year, from NVIDIA innovations to legal challenges for emissions reporting, Apple net-zero progress to the release of the first global semiconductor carbon emissions forecast.
Global Semiconductor Carbon Emissions Forecast, 2025-2030
To set the bar for semiconductor sustainability and provide analysis and leadership to address the industry's carbon footprint, TechInsights has developed the Global Semiconductor Carbon Emissions Forecast, 2025-2030.
Google Looks to the Future: Quantum Leap and XR Revolution
Discover how Google’s 105-qubit Willow quantum computer and Android XR spatial computing platform are shaping the future of technology.
Chip Observer December 2024
This month’s Chip Observer explores major industry developments, from Intel’s leadership shake-up and escalating US-China trade tensions to groundbreaking advancements in quantum computing and a political crisis in South Korea.
Leveraging TechInsights' Compute to optimize floorplan and technology selection processes, ensuring alignment with product objectives and accelerating product development cycles
The business has a strong position in semiconductor manufacturing and specializes in advanced logic chips and stays competitive by adopting and integrating new technologies quickly after their market introduction.
Huawei Mate 70 Pro+: Exploring the HiSilicon Kirin 9020 Processor
Discover the Huawei Mate 70 Pro+ powered by the HiSilicon Kirin 9020 processor. Explore our detailed analysis of SMIC’s 7nm process, updated circuit floorplan, and package design.
Using TechInsights Storage Analysis to Differentiate Advanced Memory Solutions
The IDM’s marketing team faced several unique challenges in promoting the benefits of investing in memory solutions, which are crucial differentiators and enablers for its products.
2025 Semiconductor Year in Preview
What is likely to happen in the Semiconductor industry in 2025? Join us for our upcoming webinar, 2025 semiconductor year in preview! Learn from TechInsights experts as they share insights on the latest from the US administration changes, NVIDIA announcements and 2nm and beyond.
Apple Pencil Pro 2024 (A2538) Teardown
Explore the Apple Pencil Pro 2024 teardown! Discover advanced features, manufacturing insights, and a detailed cost breakdown. View the summary for free now.
Using TechInsights’ Advanced Packaging to Effectively Position and Communicate a Product’s Value to a Target Audience
The marketing team at a fabless semiconductor company faced pressure to respond to a competitor's campaign that was touting enhancements to its product roadmap, driven by advanced packaging.
Using TechInsights' memory market intelligence to avoid production delays and cost overruns and increase competitive positioning in the market
The customer’s device team was preparing for a major product launch scheduled about a year in advance, confident there would be no significant challenges with pricing or component availability.
Memory Market Developments in 2025 and Beyond
Join TechInsights for an in-depth webinar on Memory Market Developments in 2025 and beyond. Discover trends in DRAM, NAND, AI advancements, and more with top industry experts.
Insights into Logic Standard Cell Height Optimization
The evolution of logic scaling stands at the forefront of semiconductor innovation, shaping the efficiency and performance of next-generation devices.
Can Huawei Mate 70 Series Duplicate the Success of Mate 60 Pro?
Curious about the future of Huawei's flagship smartphones? Discover how the Mate 70 series stacks up against the highly successful Mate 60 Pro.
Chip Observer November 2024
Discover the latest in semiconductor advancements and challenges in the November 2024 Chip Observer. Explore licensing disputes, trade shifts, domestic tool breakthroughs, and key industry milestones, plus insights on SiC, GaN, and Nintendo.
Cost Modeling ICs in Apple iPad Air 13” – #1 The M2 SoC
Explore the cost dynamics of Apple’s M2 SoC in the iPad Air 13". Learn how TechInsights’ IC Cost and Price Model reveals hidden costs and boosts strategic decision-making.Finished Goods Stockpiling and Alarms During Q3 2024 Earnings Calls Precede Trump's Proposed Tariffs
The Wall Street Journal recently reported that American businesses are stockpiling finished goods in anticipation of new across-the-board global tariffs and significantly higher tariffs levied on China proposed in the second Trump administration.
How Trump Policies Could Disrupt Automotive Semiconductor Markets
Discover how Trump policies could impact automotive semiconductor demand, with a focus on EV sales, tariffs, and global trade.
Trump, CHIPS, and Tariffs: How the 2024 U.S. Election Will Reshape the Semiconductor Industry
Discover how the 2024 U.S. election could reshape the semiconductor industry with increased tariffs, CHIPS Act uncertainties, and shifting global supply chains. Prepare for what's next.
AI Procurement Outlook: A Record-Breaking Year for Semiconductors
Explore trends driving semiconductor growth, record-breaking sales, rising ASPs, and the impact of AI hardware demand on the global market.
Smartphone Sales in China Surge 26% YoY During 11.11 Festive 2024
TechInsights Mobile team estimated that the smartphone sales by volume during China’s largest online shopping festival 11.11 (Nov 11) in 2024 rebounded 26% year-over-year (yoy) to 9.5 million units, largely due to the longer sales window.
Using TechInsights' Mobile RF product to predict semiconductor equipment requirements for foundry and IDM customers
A leading semiconductor equipment manufacturer needed to determine the requirements imposed by the fabrication and packaging of RFIC on foundry processes and wafer fab equipment for their foundry and IDM customers over the next several years.
Using TechInsights Semiconductor Manufacturing Economics, Executive Insights and Connected/Smart Home Coverage to Enhance Procurement Negotiating
An industry leading designer and manufacturer of innovative sound systems that delivers high-quality audio for music, TV and smart home applications, used TechInsights analysis to improve their procurement negotiation tactics.
Memory Procurement Outlook: Navigating the Demand Surge
Discover key trends in memory procurement, including rising demand driven by AI and datacenter expansions. Learn about increasing prices, supply constraints, and the importance of advanced memory solutions like DDR5 and HBM in the evolving market landscape.
2025 Consumer IoT Outlook Report
Get a glimpse of the future as the global consumer IoT market is set to grow 8.8% in 2025, driven by generative AI, the Matter standard, and rising demand for smart home solutions!
The Blooming AI PC Processor Market: A $35 Billion Opportunity by 2029
Explore the booming AI PC processor market, projected to reach $35 billion by 2029 with a 42% CAGR. Discover insights on leading vendors, market share, and the rise of AI-capable CPUs with NPUs.
2025 Mobile Outlook Report
Get a glimpse of the 2025 mobile market revolution—AI-driven experiences, foldables, and Huawei's rise. Ready for what’s next?
2025 Connectivity Outlook Report
Get a glimpse of 2025’s wireless future, where 5G, Wi-Fi 7, and emerging RF technologies like GaN and GaAs drive faster speeds, lower latency, and enhanced connectivity for consumer and industrial applications.
2025 PC/Laptop Outlook Report
Get a glimpse of the pivotal trends reshaping the PC and laptop markets in 2025, from AI-powered upgrades to the end of Windows 10 support.
2025 Power Outlook Report
Get a glimpse of the 2025 power semiconductor market, where AI and compound semiconductors drive innovation and fuel growth amidst industry shifts.
AI Market Outlook 2025: Key Insights and Trends
Explore the AI Market Outlook 2025, highlighting key growth trends in AI hardware, from data center chips to edge devices. Discover how hyperscalers, enterprises, and startups are shaping the future of AI infrastructure.
Advanced Packaging Market: 2025 Outlook and Growth Trends
Explore the 2025 Advanced Packaging Market outlook with key growth trends, recovery insights, and technology shifts in AI, data centers, and high-performance computing.
Memory Market Outlook: AI Demand and Tight Supply Drive Resurgence
Explore the 2025 Memory Market Outlook, highlighting AI-driven demand, supply constraints, and projected growth across DRAM and NAND markets. Discover trends shaping future memory demand and revenue.
2025 Sensor Outlook Report
Get a glimpse of the transformative growth in the sensor market for 2025, driven by cutting-edge advancements in mobile imaging, automotive safety, and biometric security.
Unlock the Future of Devices with On-Device Generative AI: Market Disruption Ahead
Discover our eBook on on-device generative AI and its market-shaping potential, with insights into tech breakthroughs, industry impact, and innovation strategies.
Leveraging TechInsights’ Manufacturing Insights to enhance supply chain resilience and adaptability for capital equipment companies in a rapidly changing market
As a capital equipment organization, it’s essential to have a comprehensive understanding of your supply chain. This includes identifying key suppliers, assessing your current market position, and analyzing strengths and weaknesses relative to competitors.
2025 Manufacturing Outlook Report
Get a glimpse of 2025, where soaring IC demand and transformative technologies promise to reshape the semiconductor industry—are you ready to seize the opportunities?
Dr. Jeongdong Choe
Dr. Jeongdong Choe has a Ph.D. in electronic engineering and 30 years’ experience in semiconductor process integration for DRAM, (V) NAND, SRAM, and logic devices. A Ph.D. in Electronics (Semiconductor) from Sungkyunkwan University, he also holds master’s and bachelor’s Degrees in Materials Engineering (Metallurgical) from Yonsei University.
Material and Electrochemical Insights: SeLian-Hakadi Sodium-Ion Battery Advancements
Discover insights from TechInsights’ analysis of Hakadi’s commercial SIBs, highlighting innovative materials and performance enhancements that could revolutionize energy storage.
Using TechInsights' Mobile RF product to better position foundry services for fabless RFIC designs
Benchmarking RFIC PDKs for 5G mobile design? Learn how TechInsights' Mobile RF helps foundries enhance offerings with competitive analysis, optimizing die layouts, materials, and packaging.
Unlock the Future of Mobility with Automotive Semiconductors
Explore comprehensive teardowns, competitive benchmarking, and market trends driving innovations in autonomous vehicles, EVs, and ADAS systems.
2025 Compute Outlook Report
This report delves into the pivotal factors driving growth, the technology shifts on the horizon, and what to expect in terms of procurement and pricing in the coming years.
2025 AI Outlook Report
Discover how groundbreaking advancements in AI models, edge computing, and new regulations will redefine industries and create exciting opportunities in 2025.
Huawei Mate XT Teardown
Discover the technical innovations behind the Huawei Mate XT in this teardown analysis, exploring its foldable display, Kirin 980 processor, triple-lens camera, and 5G capabilities.
Unlock Insights into the Global Semiconductor Supply Chain
Unlock Insights into the Global Semiconductor Supply Chain Explore TechInsights’ In-Depth Semiconductor Supply Chain Analysis The semiconductor supply chain is the backbone of today's technology ecosystem. With ever-evolving demands in AI, IoT
Chinese Semiconductor Developments
Gain expert insights into Chinese semiconductor developments to overcome challenges and navigate global supply chain disruptions. Stay ahead with TechInsights' in-depth analysis.ATL-BA36 Silicon-enhanced Anode (Vivo X Fold 3 Pro) Battery Essentials
Discover the key insights from our analysis of the silicon-enhanced BA36 battery from the vivo X Fold 3 Pro smartphone.
China Display Vendors Drive Panel Competition Amid Sanction Risks
Explore the transformation of the smartphone display market as Chinese OLED vendors surge ahead, displacing traditional LCDs. Discover the impact of Apple’s upcoming iPhone SE launch and the potential challenges posed by looming sanctions. Uncover the dynamics shaping the future of OLED technology in smartphones.
Unlock In-Depth Insights for Effective Semiconductor Procurement
Optimize semiconductor procurement with insights from TechInsights. Mitigate supply chain risks, control costs, and make informed sourcing decisions.
Insights On-Demand Webinar Series: Navigating the Future of Semiconductors
Join TechInsights' Insights On-Demand Webinar Series to navigate the evolving semiconductor landscape. Our expert-led sessions cover key trends and technological advancements tailored for professionals in procurement, strategy, sales, marketing, engineering, and R&D.
Automotive Tech Trends: What to Expect in 2025
As we look towards the future of the automotive industry, several transformative trends are shaping up for 2025. The rise of electric vehicles (EVs), advancements in vehicle automation, and breakthroughs in semiconductor technology will redefine the driving experience and the tech powering it.
Editorial: The NPU Will Be the Workhorse of the AI PC
It’s still early days for the AI PC, where AI workloads are being run in roughly equal proportion on NPUs, GPUs, and CPUs. But in the not so distant future, we expect NPUs to take on the lion’s share of AI workloads in the AI PC.
Submit Your Questions
Have specific topics or burning questions you want covered in our upcoming webinar series? Now’s your chance to get involved.
Unlock the Future of Devices: On-Device Generative AI and Market Disruption
Join TechInsights' exclusive webinar on October 17, 2024, to explore how on-device generative AI will reshape the competitive landscape in smartphones, PCs, and tablets. Learn from experts Eric Smith and Ville Ukonaho about the future of devices and market disruption.
Samsung Led the First Wave of India’s Festive Season Sale in 2024, Apple Follows
Explore TechInsights' analysis of India’s 2024 festive smartphone sales, where Samsung led the market, Apple surged in rankings, and online platforms dominated. Discover key trends and insights.Honor 200 Pro ELP-AN00 Smartphone Deep Dive Teardown
Discover how the Honor 200 Pro, powered by the Snapdragon 8s Gen 3, compares to the Google Pixel 8a with its Tensor G3 processor. Explore their similarities in design and performance.
Apple Watch Series 10 Teardown
Explore the Apple Watch Series 10 teardown as we reveal its slimmer design, larger display, and advanced components. Discover what’s new in Apple’s latest wearable technology.
Breakthroughs in Sodium-Ion Battery Technology
Sodium-ion batteries (SIBs) are gaining traction as a cheaper, safer alternative to lithium-ion batteries (LIBs). With abundant, lower-cost materials like sodium and aluminum, SIBs reduce production expenses by up to 10% compared to LIBs.
Free Access: Latest Component Price Landscape Report
Get free access to TechInsights' latest Component Price Landscape (CPL) 2Q Quarterly Summary, offering key insights into electronic component pricing trends and lead-time forecasts.
Apple iPhone 16 Teardown
Discover the intricate details of the Apple iPhone 16 in our latest teardown analysis. Explore design changes, internal components, and key upgrades including a new camera control system and the advanced A18 processor.
Unlocking Cost Efficiency and Capacity Forecasting
Discover the challenges procurement managers face in accurately assessing foundry costs and forecasting future production capacity for critical node expansions.
A First Look at Infineon’s and Industry’s First 400 V SiC MOSFET
TechInsights is excited to announce that we have Infineon’s, and the industry’s, first 400 V silicon carbide (SiC) MOSFET in-house.
2025 Memory Outlook Report
Discover the key trends shaping the memory market in 2025, including AI impacts on HBM and NAND growth. Stay informed with essential insights and forecasts.
2025 Advanced Packaging Outlook Report
Discover key insights from the Advanced Packaging Outlook Report 2025, covering trends like interposers for AI, Panel-Level Packaging (PLP), automotive chiplets, silicon photonics, and glass substrates, driving the future of semiconductor packaging.
Apple MacBook Air (A3113) Teardown
Explore the Apple MacBook Air (A3113), 13 inch teardown, uncovering key components like the M3 processor, WiFi 6E, camera subsystem, and a cost-effective yet premium design.
The Impact of Standard Cell Width on Future Innovation
Module two dives into logic scaling by focusing on standard cell width, setting the foundation with a recap of module one.
Hurricane Helene Disrupts Access to the World’s most Critical Quartz Mine
Discover how Hurricane Helene's disruption of North Carolina's critical quartz mines may impact the semiconductor supply chain, sourcing strategies, and market trends.
A18 Pro Processor in iPhone 16 Pro Max
Access the full analysis of the A18 Pro processor, including in-depth insights and performance breakdowns.
Leverage TechInsights’ Power product to uncover new market opportunities and establish your products as technologically superior
Marketing professionals at fabless semiconductor companies must navigate competitive markets like SiC, GaN, and PMICs, ensuring timely product launches and clear value propositions.
Unveiling the A18 vs A18 Pro – Key Differences in Apple's Latest SoCs
Discover the key differences between the A18 and A18 Pro processors in the iPhone 16 series. Log in to TechInsights Platform for the full analysis and detailed insights.
Using TechInsights Semiconductor Manufacturing Economics to minimize capex investment risk and ensure profitability
IDMs face high stakes in capex investments, balancing risks of over- and under-investment in new semiconductor manufacturing to stay competitive and profitable.
Using TechInsights Semiconductor Manufacturing Economics to establish competitive pricing
Case Study Using TechInsights Semiconductor Manufacturing Economics to establish competitive pricing The Challenge IDMs must design and price their products in a competitive market landscape. While they typically maintain some form of cost model for
Using TechInsights Compute Offering to Predict Future Node Requirements
Leading IDM partners with TechInsights to leverage industry benchmarking for developing next-gen 2nm semiconductor solutions, shaping future technology strategies.
AI-Driven Growth: The Future of Compute Servers Through 2029
Discover the future of compute servers in our latest report, exploring AI-driven growth and the rise of accelerated servers. Gain insights into market trends, financial performance of top OEMs/ODMs, pricing forecasts, and shipment projections through 2029.
Webinar - Semiconductor Industry Developments
Join industry experts Dan G. Hutcheson and Andrew Leach in a fireside chat discussing the latest semiconductor developments, including sanctions, subsidies, and silicon advancements. Gain valuable insights into market shifts, technological innovations, and geopolitical strategies shaping the industry's future.
iPhone 16 Pro Models: How Reduced Lead Times Enhance Availability
iPhone 16 Pro models now ship faster in key markets like the US, China, and the UK, with lead times reduced by up to 3 weeks. Learn more about the potential impact on sales.
Using TechInsights Advanced Packaging to Achieve a Broad Perspective of Commercialized Technologies
Discover how a company addresses miniaturization challenges by developing thermal models and exploring technologies to meet size, power, and thermal requirements for their applications.
Using TechInsights Executive Insights to Support Procurement Planning Cycles and Address Productivity Issues
Learn how a company's strategic acquisition aimed at expanding into high-growth sectors like security and smart home led to integration of vendors, pricing, and supply chain optimization.
Apple iPhone 16 Pro Max (A3295) Teardown
Experience the iPhone 16 Pro Max with its titanium design, A18 Pro chip, 6.9-inch OLED display, and advanced 48MP camera system. Discover unparalleled performance in 2024's flagship phone.2Q2024 Table Application Processor Market Model
Explore our latest report on the global tablet applications processor market, which grew 16% YoY in Q2 2024. Apple leads with 69% revenue share, while x86-based APs remain stable. Includes shipment and revenue data for 24 vendors, with estimates through Q1 2025.
Apple Vision Pro Utiizes SK hynix DRAM in R1 Chip
Discover how the SK hynix H5EA1A56MWA 1 Gb LLW DRAM enhances real-time processing and low-latency performance in the Apple Vision Pro AR headset with advanced memory architecture.
Apple AirPods Pro Disrupts Hearing Aid Market
Apple's AirPods Pro is set to disrupt the $12B hearing aid market with FDA-approved features and cutting-edge tech. Explore TechInsights' reports for a detailed breakdown of the tech driving this innovation.
Will Silicon-Based Anode Technology Take the Crown as the Future of High-Energy-Density Lithium Batteries?
The most used anode material for LIBs is graphite which has a specific capacity of 372 milliampere hours per gram (mAh/g). However, the energy density of LIBs can be improved with the incorporation of Silicon (Si) instead of graphite.
Using TechInsights to understand inflection points when new toolsets will be adopted
Explore how a leading DRAM equipment manufacturer drives innovation by providing cutting-edge solutions that enable the production of advanced DRAM devices and smaller technology nodes.
Using TechInsights' Mobile RF product to track design trends and gain a competitive advantage in mobile radio design
Learn how a market leader in 5G mobile platforms uses competitive benchmarking to identify growth opportunities and maintain an edge in the smartphone OEM market.
Using TechInsights Bill of Materials (BOM) Database to Achieve Substantial Savings
Discover how a leading foundry leverages TechInsights' expertise to navigate diverse customer needs, focusing on mainstream semiconductor technologies and strategic growth.
YMTC Xtacking4.0: Breaking New Ground in 3D NAND Technology
Explore the latest advancements in YMTC's Xtacking4.0 (Gen5) 3D NAND technology, including innovations in storage density, speed, and efficiency. Discover how it compares to previous generations.
2024 Compute – SoC Design Video Briefing 2
Join TechInsights’ upcoming SoC Design Analysis video briefing featuring TSMC, Samsung and Intel latest technology nodes, TSMC N3E, Samsung SF3 and Intel 4nm, through the lens of digital gates and standard cell libraries.
YMTC's Memory Developments Highlight China's Strong Position
YTMC’s latest Xtacking4.0 process has been observed in a new memory chip from the Chinese vendor. What can it tell us about the development of the domestic Chinese semiconductor industry in the face of US sanctions?
System-on-Chip Innovation Fuels Rapid Growth Through 2025
The System-on-Chip (SoC) market is entering a period of rapid growth, driven by innovations in chiplet integration, advanced packaging, and AI-powered designs.
Apple Vision Pro Battery Pack: In-Depth Technical Analysis and Performance Review
Discover the technical differences in battery design for Apple Vision Pro, Meta Quest 3, and HTC Vive XR Elite, exploring energy capacity, weight, and thermal management in AR/VR devices.
How is the Automotive Market Evolving? Discover Key Forecasts in Our NEW Reports
Explore the evolving automotive market with TechInsights' latest reports. Get detailed forecasts on key trends in infotainment, ADAS, electric vehicles, and more. Access up-to-date data on semiconductor and system-level components to make informed decisions.
AI Continues to Drive Demand For Memory Solutions
Discover how AI will shape the memory markets by 2025, including growth in HBM, SSD demand, capex shifts, Edge AI impact, and delayed server refresh cycles. Explore these insights for free on TechInsights—sign up for full access and potential updates.
Apple A18: Incremental Upgrade with Strategic Focus
The Apple A18 and A18 Pro processors offer refined performance with minor improvements over the A17 Pro, highlighting Apple's focus on advancing AI capabilities and on-device intelligence.
Apple iPhone 16 Camera Control Button
Discover how the new Apple iPhone 16 Camera Control button impacts the placement of the mmWave antenna. Explore the trade-offs between enhanced photo features and 5G connectivity in our detailed analysis.
Huawei Mate XT: Unveiling the World's First Trifold, Triple-Screen Foldable Smartphone
Discover the Huawei Mate XT, the world's first trifold, triple-screen foldable smartphone with a 10.2-inch OLED display, advanced camera system, and cutting-edge features like satellite communication and fast charging.
Apple Watch is Turning 10: A Decade of Innovation and Evolution
Explore the evolution of the Apple Watch as it marks its 10th anniversary. Discover how Apple has maintained market dominance with innovative designs, consistent features, and cost management strategies through nine generations of smartwatches.
Apple iPhone 16 Series: Riding the AI Wave
Explore Apple’s iPhone 16 series with advanced A18 chipsets, larger displays, and new AI-driven features. Discover how the iPhone 16, 16 Plus, 16 Pro, and 16 Pro Max are set to outperform their predecessors in 2024.
Apple iPhone 16 Series Launch Signals Strong Growth in India but an Uphill Battle in China
Explore how Apple's iPhone 16 series launch is set to boost growth in India with strategic price cuts, while facing significant challenges in China due to local competition and regulatory issues. Discover the implications for Apple’s global strategy and market performance in 2024.
Apple Set to Surpass Samsung as the Largest Smartphone Maker in 2025 for the First Time
Apple is set to surpass Samsung as the world’s largest smartphone maker in 2025. Key factors include aggressive pricing strategies, the launch of the iPhone SE (2025), technological innovations, and favorable macroeconomic conditions. Discover how Apple’s comprehensive approach positions it for historic growth while competitors face their own challenges.
Using TechInsights Market Intelligence to Increase Battery Sales and New Logo Sales
Learn how TechInsights’ Market Intelligence helped a leading battery supplier boost sales and secure new contracts in the smartwatch industry by providing data-driven insights and targeted sales strategies.
How TechInsights’ Compute and Advanced Packaging Drove First-Mover Advantage in the Data Center Market
See how TechInsights’ Compute and Advanced Packaging provided a leading electronics manufacturer with critical insights into the data center ecosystem, enabling them to secure first-mover advantage and align their products with market demands and end-use applications.
How TechInsights’ Memory Analysis Accelerated Market Entry and Strengthened Business Cases for a Fabless NVM Innovator
Discover how TechInsights’ Memory analysis empowered a fabless company to position its embedded NVM technology effectively, accelerate market entry, and build strong business cases for IDM and foundry partnerships through precise process flow and cost modeling.
How TechInsights’ Advanced Packaging Helped a Leading Semiconductor Manufacturer Optimize Thermal Performance and Boost Market Share
Learn how TechInsights’ Advanced Packaging analysis enabled a top semiconductor manufacturer to evaluate and select optimal packaging technologies, enhancing thermal performance and accelerating time to market while boosting reliability and market share in their applications.
How TechInsights’ Memory Offering Helped a Fabless Company Conquer the Embedded NVM Market
Discover how a fabless memory core designer leveraged TechInsights' Memory and Advanced Packaging analysis to gain a competitive edge in the growing embedded NVM market, enhancing product reliability and market share.
Why the iPhone 16 Series Launch Is Crucial for Apple
Discover why the iPhone 16 series launch is crucial for Apple as it aims to compete in the AI smartphone market and secure its place among top industry leaders.
Hyperscalers & Cloud Providers Shaping AI with Custom ASICs
Discover how hyperscalers and cloud providers like Google, Amazon, and Facebook are revolutionizing AI with custom-built AI accelerator ASICs. Learn how this trend is optimizing performance, cutting costs, and reshaping the tech industry.
Global Fitness Band Market Forecast 2012-2029
Explore the shifting dynamics of the global fitness band market, including rising ASPs, regional trends, and future growth prospects amid increasing competition.
Global Smartphone Shipments Slow to 4% YoY Growth
Explore the global smartphone market trends in July 2024, with slowing growth in shipments and sales, inventory insights, and top brands like Samsung and Apple leading the market.
Smartwatch Market Rebounds: 4% Growth in Q2 2024 After Six Quarters of Decline
Discover how the global smartwatch market returned to growth in Q2 2024 after six quarters of decline, driven by brands like Garmin, Huawei, and Google.
Navigating the Future of Semiconductor Sustainability
Discover how the semiconductor industry is navigating sustainability challenges and opportunities, from advanced packaging to automotive electronics, chip manufacturing, and artificial intelligence.
Gaining Transparency into Supplier and Competitor Costs with TechInsights Semiconductor Manufacturing Economics
Learn how procurement professionals and product directors at fabless semiconductor companies can gain transparency into supplier and competitor costs using TechInsights' Semiconductor Manufacturing Economics, enabling them to realize potential revenue gains by securing better pricing and negotiating stronger contracts.
Leveraging TechInsights Semiconductor Manufacturing Economics to Secure Favorable Pricing for Existing and Newly-Designed IC Products
Discover how fabless semiconductor companies can leverage TechInsights' Semiconductor Manufacturing Economics to secure annual savings by obtaining favorable pricing on existing and newly-designed IC products, while mitigating supply-chain risks with advanced cost models and global foundry data.
How a Leading Wireless Audio Developer Used TechInsights to Streamline Procurement, Drive Innovation, and Gain a Competitive Edge
Learn how a leading wireless audio developer used TechInsights' Semiconductor Manufacturing Economics, Executive Insights, and Consumer Electronics products to streamline procurement, drive innovation, and gain a competitive edge, resulting in significant cost savings and strengthened market leadership.
Using TechInsights Semiconductor Manufacturing Economics to Source Discrete Semiconductors and ICs at Favorable Prices
Discover how TechInsights' Semiconductor Manufacturing Economics helps product managers and procurement professionals secure over $1 million in annual savings by sourcing discrete semiconductors and ICs at favorable prices, while mitigating supply-chain risks with detailed cost models and global foundry data.
Apple iPhone 16 Release on September 9 with Debut of Generative AI iPhone
Discover in-depth analysis and insights on the latest Apple innovations, including the upcoming Apple iPhone 16. Log into TechInsights for all the details.
Chiplets and Advanced Packaging: A Deep Dive into Industry Evolution and AI Integration
Discover how chiplets and advanced packaging are revolutionizing the semiconductor industry in our latest eBook. Explore key trends, industry leaders, and future innovations driving AI and performance advancements.
The Role of Efficient Cores in Advanced Processors: Maximizing Performance
Learn how modern processors use diverse core designs to boost performance and efficiency. Explore the evolution from symmetric multiprocessing to advanced architectures like Arm's big.LITTLE and Intel's P/E cores for better performance and energy savings.
Using TechInsights Power Offering to Inform Internal Stakeholder decision making and Company Strategy
Discover how a leading semiconductor company used TechInsights’ Power Offering to inform strategic decisions, optimize product development, and enhance marketing for wide band gap devices.
The Chip Observer (August Edition)
Explore how Intel's CPU warranty extensions, AMD's and NVIDIA's delays, and the CHIPS Act impact the semiconductor landscape. Discover the challenges facing OpenAI and Meta in AI regulation and leadership. Uncover the competitive race among Samsung, TSMC, and Intel in nanosheet and chiplet technology.MediaTek MT7925 (Filogic 360) Wi-Fi 7/BT 5.4 SoC Floorplan Analysis
Uncover the MediaTek BM11373A1 die inside the Filogic 360 MT7925BEN, a Wi-Fi 7 and Bluetooth 5.4 solution found in the HP Envy x360 laptop with AMD Ryzen 5 CPU and 16GB RAM.
Webinar - Chiplets and Advanced Packaging: Moving the Industry Forward
Join TechInsights' webinar on 'Chiplets and Advanced Packaging: Moving the Industry Forward.' Discover the latest advancements in chiplet technology and advanced packaging, and how they are driving innovation in the semiconductor industry.
Q3 2024 Automotive Infotainment and Telematics Report
Discover how stable vehicle production is shaping the automotive infotainment and telematics market in TechInsights' Q3 2024 update, where the focus is shifting toward software innovation in a mature landscape.
Using TechInsights Analysis and Models to Negotiate Semiconductor Pricing
Learn how a leading luxury automobile company used TechInsights’ semiconductor pricing analysis and models to optimize their semiconductor procurement strategy.
Leveraging TechInsights Manufacturing Equipment Market Analysis to Bolster its Market Position
Explore how a leading Capital Equipment company strengthened investor confidence and bolstered its market position by leveraging TechInsights’ Manufacturing Equipment Market Analysis.
Using TechInsights Advanced Packaging for Market Planning
Discover how one of the world’s leaders in process control leveraged TechInsights' Advanced Packaging solutions to navigate the complex semiconductor industry.
TechInsights’ Sustainability Tools Used to Drive Down Carbon Emissions in the Supply Chain
Learn how TechInsights' Sustainability tools helped a leading fabless semiconductor company drive down carbon emissions across its supply chain.
Securing the Supply Chain
Discover how a leading automotive manufacturer secured a stable semiconductor supply chain with TechInsights' advanced analytics. The client mitigated risks, enhanced visibility, and gained a competitive edge in a volatile market by evaluating market trends, analyzing supplier capabilities, and leveraging predictive tools.
Gaining the Competitive Edge
Discover how a key player in image sensor technology gained a competitive edge with TechInsights' strategic intelligence. Through detailed competitive reports, cost efficiency, and rapid access to critical data, the company enhanced decision-making, optimized resource allocation, and stayed ahead of market trends.
Elevating Product Development
Discover how TechInsights' comprehensive market data and strategic insights help product development teams elevate their planning and growth strategies.
Vivo Hits New High: Fourth Largest Smartphone Brand Worldwide in Q2 2024
Discover how Vivo soared to fourth place in global smartphone rankings for Q2 2024. Explore their shipment growth, market impact, and strategies for future expansion.
Using TechInsights Semiconductor Manufacturing Economics to Ensure Competitive Pricing and Diversify Their Supply Chain
Discover how an Integrated Device Manufacturer for wireless communications utilized TechInsights Semiconductor Manufacturing Economics to secure competitive pricing and diversify their supply chain.
Google’s Pixel 9 Series: Driving Growth and Expanding Horizons
Discover how Google’s Pixel 9 series, with its advanced features and expanded market reach, is driving impressive growth and approaching profitability. Explore the latest innovations and strategic moves boosting Pixel's success in 2024.
Apple Exceeds Expectations: iPhone Shipments Surge in Q2 2024
Apple's iPhone shipments rose in Q2 2024, driven by discounts and growth in emerging markets. While facing challenges in China, Apple's shift to India and new AI advancements set the stage for future success. Explore the key trends and what's ahead.
Huawei Pura 70 Ultra’s 5G Radios: A Deep Dive into RF Components
Explore the advanced 5G radio design of Huawei’s Pura 70 Ultra in this detailed analysis. Discover how Huawei continues to innovate with entirely ‘made in China’ RF components and dual satellite communication technology.
Tablet Market Soars in Q2 2024: Apple, Samsung, and Lenovo Lead the Charge
The global tablet market surged 14% in Q2 2024, with Apple, Samsung, and Lenovo leading the growth. Discover key trends and market insights in our latest report.
Notebook PC Market Sees 4% Growth in Q2 2024 as AI PCs Emerge
Explore the 4% growth in the Q2 2024 notebook PC market, driven by strong commercial demand and the emergence of AI-powered PCs. Get detailed insights in our full report in the TechInsights Platform.
Comprehensive Analysis of Apple Watch Models: 2024 Q2 Insights
Explore Apple's Q2 2024 smartwatch market performance, focusing on shifting sales trends, revenue impacts, and the evolving role of cellular connectivity in the Apple Watch lineup.
Navigating Market Complexity
Discover how strategic insights from TechInsights empowered a marketing leader to overcome market complexities and fortify their intellectual property.
TSMC vs. Fujitsu: A Brief Comparison of 22ULL Embedded ReRAM Technologies
STT-MRAM and ReRAM are leading the charge in the evolution of memory technology, with significant advancements being made in these areas. Both are increasingly used in automotive, IoT, and other high-growth applications, surpassing older memory technologies like FeRAM and PCRAM.
Using TechInsights to Optimize Semiconductor Selection and Costs
Discover how a leading automotive manufacturer optimized semiconductor selection and reduced costs using TechInsights' comprehensive BOM data, advanced cost models, and engineering analysis tools.
Using TechInsights Compute Offering to Mitigate Core Design Risk
Discover how one of the world’s leading CPU providers leveraged TechInsights’ Compute offering to enhance their semiconductor analysis and mitigate core design risk.
Qualcomm's New Mobile Chipset: Making 5G Affordable by Reducing BOM Costs
At MWC2024, Qualcomm announced a groundbreaking development: 5G smartphones priced at $99 and below. Since 5G's debut in 2019, high costs have hindered widespread adoption, with prices rarely falling below $200. The Snapdragon 4s Gen 2 aims to change that by offering cost-optimized technologies.
Smartphone Market Grows 8% in Q2 2024: Emerging Markets Lead Recovery
The global smartphone market grew 7.6% year-over-year in Q2 2024, reaching 289.6 million units. This marks the third consecutive quarter of recovery, driven by strong demand in emerging markets like Africa, Latin America, and Asia-Pacific.
WELION's Semi-Solid-State Batteries: Transforming EVs and Small Power Products
NIO, the Chinese electric vehicle manufacturer, recently tested its new semi-solid-state batteries during a 14-hour, 650-mile journey with CEO William Li driving the ET7 sedan. These batteries, developed by Chinese company WELION, boast a 150-kWh capacity, promising extended range and faster charging times.
Battery Breakthroughs in EVs: Chevy Bolt, Tesla Models, and Hyundai IONIQ 5
The electric vehicle (EV) market is rapidly expanding, with millions of new electric cars registered globally, bringing the total on the roads to tens of millions.
Exploring Apple Vision Pro’s Revolutionary Eye Tracking System
In our series on Apple Vision Pro, we now focus on the headset's eye tracking system. This advanced technology allows users to control the interface with their gaze, replacing traditional input methods like a mouse or trackpad.
TDK CeraCharge™ - the First Rechargeable all-Ceramic SMD Battery
TDK CeraCharge™ - the First Rechargeable all-Ceramic SMD Battery Discover the advancements in IoT power sources with TDK CeraCharge™, the first rechargeable all-ceramic solid-state SMT battery. Learn about its innovative materials, performance
Chiplets Moving Into the Fast Lane: Transforming Automotive Processors
Chiplets moving into the Fast Lane: Transforming Automotive Processors Discover how chiplet technology is transforming the automotive industry, offering higher yields, faster development, and reduced costs. TechInsights predicts significant chiplet
Smart Home Device Shipments to Exceed 2.5 Billion in 2030
Discover how the smart home device market is set to exceed 2.5 billion shipments by 2030. Learn about the key drivers, regional insights, and strategic opportunities that will shape the future of smart home technology.
Webinar - Can Sustainability Efforts Withstand the Growing Power Needs of AI, EVs, and Augmented Reality?
Join us as TechInsights’ Sustainability Team discusses these key issues facing the semiconductor industry in 2024 and beyond.
KIOXIA/WD BiCS8 218L CBA 3D TLC NAND
Discover the latest Hybrid Bonding technology with the KIOXIA/WD BiCS8 CBA 3D TLC NAND. Analyzed by TechInsights, this innovative device showcases an advanced edge-XDEC floor plan and a sophisticated 2-deck integration.
Samsung SF3 (2nd Gen 3nm GAA) in Exynos W1000 Processor Confirmed
Initial SEM results confirm Samsung’s 2nd generation 3nm GAA technology in the Exynos W1000 processor. Discover key insights and implications for high-volume manufacturing requirements in our latest analysis on the TechInsights Platform.
AI's Influence on Advanced Packaging: Mid-Year Briefing Highlights and Innovations
Topics covered include TSMC’s recent announcements of an expanded technology offering for system-on-wafer (SoW) and new targets supporting continued interposer scaling in 2.5D chip-on-wafer-on-substrate (CoWoS) technology that is underpinning the AI boom.
Apple Vision Pro: Revolutionizing Face ID with TrueDepth Technology
Spatial computing integrates a user’s virtual environment with their physical surroundings, and Apple's Vision Pro achieves this with a suite of advanced sensors. While LiDAR, or Light Detection and Ranging, plays a significant role in mapping the user's space, the TrueDepth camera system adds another layer of depth sensing.
Exploring the New Samsung Galaxy Watch 7 Ultra: Unboxing
Explore the new Samsung Galaxy Watch 7 Ultra with TechInsights as we unbox and analyze the powerful Exynos W1000 processor. Join us as we uncover the latest in wearable technology and delve into the innovations driving Samsung's newest smartwatches.
Samsung Galaxy Watch 7
Discover the Samsung Galaxy Watch 7 with TechInsights. Explore the latest Exynos W1000 processor, advanced health tracking, AI wellness tools, and our detailed technical analysis.
Samsung Exynos W1000 Processor
Get an in-depth first look at the Samsung Exynos W1000 processor in the Galaxy Watch 7 Series. Discover the 3nm gate-all-around process, critical dimensions, and upcoming detailed analyses on TechInsights.
Taking Monolithic Designs to Disaggregation
The term ‘chiplet’ has come into use to describe an evolved design strategy moving past monolithic system-on-chip (SoC) to the heterogeneous integration of a disaggregated design in a system-in-package (SiP).
Chiplet Technology: Disrupting Chip Design and Fueling Growth
The semiconductor industry is facing a turning point with the rise of chiplet technology (also known as chiplet-based design or semiconductor chiplet technology). This revolutionary approach has been made possible by the advances in chip-to-chip interconnect and is poised to reshape chip production and design, particularly within the computing segment.
Apple R1 Adopts TSMC’s Latest Package
Apple’s R1 sensor hub chip in the Vision Pro AR/VR headset is the first use that TechInsights has seen of TSMC’s InFOM advanced packaging technology. The R1 has a 5nm processor chip plus two LLW DRAMs linked by short very-high-density interconnect to speed up the data processing.
LiDAR Technology in Apple Vision Pro
Spatial computing is a highlight of the new Apple Vision Pro headset. This device uses various sensors to integrate virtual and physical environments by sensing the three-dimensional structure of the user's space and eye movements.
The Chip Observer (June Edition)
Dive into the latest Chip Observer for June 2024! Explore major advancements in AI PCs, competitive shifts in datacenter GPUs, and insights on the recovering memory market. Discover how NVIDIA’s dominance is being challenged and get the scoop on IBM’s strategic moves.
Samsung Foundry's Next-Gen GAA Process Expected in Galaxy Watch 7
Discover the latest innovation from Samsung Foundry with their next-gen GAA process expected in the Galaxy Watch 7. TechInsights analysts provide insights from the Samsung Galaxy Unpacked Conference, building on last year's groundbreaking SF3E process technology.
Webinar - 2024 is the Year of the AI PC: Hype or Reality?
Explore the potential of 2024 as the Year of the AI PC. Join TechInsights’ experts David Watkins and Eric Smith to discuss the emerging AI PC market, sales projections, and the future of computing.
Volkswagen's $5 Billion Rivian Investment
Discover how Volkswagen’s $5 billion investment in Rivian could reshape its CARIAD software division, boost Android Automotive OS adoption, and influence future EV developments.
SEMICON WEST 2024: The Premier Microelectronics Event
TechInsights will be attending and presenting at SEMICON WEST. Join us to explore groundbreaking technologies transforming the microelectronics sector and enabling smart applications.
Scaling Logic for the Future: Unveiling the Next Decade's Evolution - Module 1
In the realm of integrated circuit design, scaling plays a pivotal role in driving technological advancement. Initially spurred by Moore's Law in 1965, which highlighted the economic feasibility of cramming more components into circuits, scaling has since evolved through constant innovation.
FinFET Transistors: Tracing the Path of Evolution
FinFET transistors, or Fin Field Effect Transistors, have revolutionized advanced semiconductor manufacturing by replacing planar FET transistors, starting with Intel's 22nm technology and later adopted by other foundries at the 16nm node.
Intel's i3 Process at the VLSI Technology Symposium: A Comparative Analysis
Intel's i3 Process at the VLSI Technology Symposium: A Comparative Analysis Discover Intel's latest i3 process unveiled at the VLSI Technology Symposium, showcasing advancements in semiconductor technology. With enhanced transistor density and
Revolutionary 32 Gb DRAM Chip: Advanced Node and Unmatched Capacity
TechInsights recently analyzed a new DDR5 DRAM chip from Micron Technology. This 32 Gb chip, capable of speeds up to 5,600 MT/s, doubles the capacity of its 16 Gb predecessor and features a significantly larger die size.Forecast: Global Wearables Shipments by Type by Vendor by Quarter: 2017 to 2024
Global wearable shipments are forecasted to grow by 5.6% in 2024, after declining by 4.9% in 2023 and before that declining by 6.3% in 2022. Apple, Huawei, and Samsung will remain the market leaders in the global total wearables market.
Global Smartphone Replacement Rate: North America No longer Leading
Global Smartphone Replacement Rate: North America No longer Leading Discover the global shift in smartphone replacement rates as North America loses its lead. Central & Latin America (CALA) will take the top spot in 2024, followed by Central &
Dive into Xiaomi CyberDog 2: How Does It See the World?
Discover the advanced sensory technology of the Xiaomi CyberDog 2. With 19 sensors, including vision and distance measuring cameras, this cyber pet offers impressive face recognition, object avoidance, and mapping capabilities.
End Market Demand for Advanced Packaging
In our latest spotlight series, we delve into the technology advancements that are revolutionizing the datacenter industry.
Evolution of Standard Cell Libraries: Advancements and Innovations
Standard cell libraries are indispensable in modern semiconductor design, providing foundational blocks of Boolean logic functions essential for chip implementation.
Teardown of the Vivo X Fold3 Pro Foldable Smartphone
Join TechInsights as we teardown the Vivo X Fold3 Pro, featuring the Qualcomm Snapdragon 8 Gen 3 processor, 16 GB of RAM, and a cutting-edge camera system. Discover detailed insights into its design, battery technology, and unique features.Renesas RoX Development Platform for R-Car Processors
Renesas launched its R-Car Open Access (RoX) development platform for software-defined vehicles (SDVs). Designed for the Renesas R-Car family of system on chips (SoCs) and microcontrollers (MCUs), the aim is to speed development, reduce complexity, lower cost, and optimize deployments of next-generation vehicles.
6.18 Online Festival in China: Smartphone Sales Down 2% Annually
The 2024 6.18 Online Festival in China revealed a nuanced picture of smartphone sales. Despite a 2% decline in unit sales, stable market value was maintained thanks to higher average selling prices amid a broader 3% drop in overall online sales.
Teardown Unboxing: Exploring the Vivo X Fold3 Pro
Explore the innovative design and advanced features of the Vivo X Fold3 Pro, the thinnest foldable smartphone on the market. Learn about its durable carbon fiber hinge, Vivo Armor architecture, and premium aesthetics in our detailed Teardown and analysis.
Apple Vision Pro: Exploring the Design and Sustainability of Its Innovative Battery Pack
In 2024, Apple launched its groundbreaking AR/VR system, the Apple Vision Pro, featuring a notable departure from conventional designs with its external battery pack.Global Smartphone Installed Base Forecast by Operating Systems for 88 Countries: 2007 to 2029
TechInsights smartphone research team predicts global smartphone installed base will grow 2% YoY in 2024 and 2025. The growth rate will be modestly improved from 2026 through 2029 driven by technology innovations and the penetration of on-device AI smartphones. Android and Apple are expected to retain market leadership with shares of 82% and 16% in 2024, respectively.
Intel FIVR gets a new inductor
Explore Intel's latest Coaxial Magnetic Integrated Inductor (Coax MIL) technology, enhancing voltage regulation in high-performance processors like Sapphire Rapids. Discover its impact on Intel's shift from on-motherboard to fully integrated voltage regulation and future developments in processor architecture.Apple A17 Pro SoC Small CPU Design Analysis
Dig into this analysis of the standard cells comprising 70% of a target logic area in the the Apple A17 Pro CPU2 core, fabricated using FINFLEX methodology by TSMC in N3B process node. Standard cells schematics are extracted to determine routing efficiency, gate density, and global metal usage survey.
Towering Memory: HBM and Verticality
Discover how the AI arms race is driving advancements in high bandwidth memory (HBM) technology, with AMD, NVIDIA, Google, and Meta pushing the limits of DRAM stacking. Learn about JEDEC's updates, hybrid bonding innovations, and their impact on data center performance.
Automotive Market Outlook Report
Automotive Market Outlook Report Automotive Semiconductor Forecast and Vendor Share Discover the latest Automotive Market Outlook Report, analyzing Q1 2024 trends and challenges in the automotive semiconductor landscape. Amid geopolitical tensions
网络研讨会: 2024年是AI PC之年:炒作还是现实?
近年来,全球PC市场经历了一段动荡时期,COVID 强制封锁导致 2020 年和 2021 年PC需求激增,但随后两年又出现大幅下滑。
Navigating the Semiconductor Landscape: Trends, Investments, and Future Outlook (eBook)
Delve into market trends, regional dynamics, and future projections in the semiconductor industry. Discover insights from industry leaders and analysts on key topics like lithography advancements, the rise of Chinese manufacturers, and global investment strategies.Thank you for your interest in our eBook
Thank you for registering to download the eBook.
Introducing TSMC N3E: The Power Behind Apple's M4 SoC
In a recent teardown of the Apple iPad Pro 11-inch, TechInsights revealed details of Apple's latest silicon: the Apple M4 SoC, codenamed TMRV93, built on TSMC's advanced N3E process. This surprise release demonstrates Apple's agility in adopting cutting-edge semiconductor technologies ahead of schedule.Thank you for your interest in our on-demand webinar
Thank you for registering to watch the on-demand webinar.Insight: Understanding the Impact of EV Demand on the Automotive Semiconductor Market
Electric vehicles (EVs) have significantly higher semiconductor content than traditional gasoline or diesel models. This analysis looks at five different scenarios for vehicle powertrain demand in 2030 and examines what the impact on the automotive semiconductor market will be.Tracker: Global 5G mmWave Smartphone Shipments Forecast by Vendor by Quarter to 2025
We expect the United Stated (US) and Japan to remain main advocates for mmWave in smartphone use. Apple and Samsung are the biggest mmWave device vendors owing to their share from the US market. This report forecasts global 5G mmWave shipments by 28 vendors by quarter from 2018 through 2025.
2xx-Layer Products from Samsung, SK hynix, Micron, and YMTC
Explore the latest advancements in 3D NAND technology with our comparison of multi-layer products from Samsung, SK hynix, Micron, and YMTC. Understand how Vertical Cell Efficiency (VCE) impacts performance and discover which manufacturers lead in this crucial metric.Q1 2024 Google: Geographical Expansion and New Product Line-up Expected
Google Pixel smartphone shipments grew by 35.3% YoY in Q1 2024, reaching 2.3 million units. Consequently, Google outperformed the broader smartphone market, which saw YoY growth of only 9.7%.Global Bluetooth Tracker Device Sales Forecast through 2029
The number of Bluetooth tracking devices sold globally in 2029 will be 50% larger compared to those sold last year. Bluetooth tracking devices have come of age. Bluetooth tracking devices, like Apple’s AirTag or Tile’s tracking devices, are growing in popularity.
Tracker: Global Wearables Microvendor Market Share : 2024 Q1
A huge number of second-tier MICROVENDORS are playing a meaningful role in the global wearables market, flooding the market with new, niche, and segmented offerings. Global wearables shipments stabilized in Q1 2024, though still declined slightly, marking the sixth consecutive quarter of declines amid continued macroeconomic weakness and geopolitical uncertainty, causing a lengthening replacement cycle and limiting consumer spending.
Deep Dive Teardown of the Valve Steam Deck OLED 1030 Handheld Game Console
Deep Dive Teardown of the Valve Steam Deck OLED 1030 Handheld Game Console Share This Post The Valve Steam Deck 1030 is a handheld gaming console that thanks to SteamOS 3.0 operating system can be used almost as a laptop or touchpad. The device has
Taiwan’s Green Energy Conundrum – Balancing Increasing Demand with Sustainability Targets
Taiwan's ambitious net-zero emissions goal by 2050 faces challenges due to limited resources, high tech sector demands, reliance on imported fuels, and a contentious energy debate, despite significant gains in renewable energy.
Unveiling Spatial Computing in the Apple Vision Pro: The Sensors Powering the Future
The Apple Vision Pro headset introduces a new level of immersive computing through "spatial computing," merging virtual widgets with your real-world environment.
ODM Sales Soar as Hyperscalers and Cloud Providers Go Direct
Discover how ODM sales are soaring as hyperscalers and cloud providers like AWS and Google go direct, reshaping the server market with custom silicon and hybrid cloud strategies.
AWS NVIDIA Shortages Demonstrate Need for Custom Silicon
Discover how ongoing NVIDIA shortages are driving the need for custom silicon in cloud computing. Explore the benefits and future implications of proprietary chips from AWS, Microsoft, Alibaba, and Google in a rapidly evolving market.
Forecast: Global Bluetooth Headset Sales, Installed Base and Revenue to 2029
Forecast: Global Bluetooth Headset Sales, Installed Base and Revenue to 2029 Share This Post Bluetooth headset revenues will grow slightly, driven by TWS headsets but also banded headsets. In 2022 growth slowed due to the economic downturn, but the
Forecast: Global TWS & Banded Headset 88 Country Forecasts to 2029
Bluetooth headsets have become must-have products for many smartphone owners as vendors remove the 3.5 mm plug from their smartphones. China is the biggest market for True Wireless Stereo (TWS) and banded headsets, followed by the United Sates and India.
3D NAND – Samsung 133L (V6’) Comparison
3D NAND – Samsung 133L (V6’) Comparison Share This Post This report provides an update on Samsung's channel architecture evolution with their introduction of the 4LPE technology used in the Samsung Exynos 2200 application processor. Samsung 133L (V6'
Insight: Tablet Market Forecast – Recovery Speeds Up in Q1 2024, Boosting Growth Forecast in 2024
The basic slate is still a favorite device for casual, lean-back activities such as watching videos, gaming, and social media; detachable 2-in-1s have evolved into high performance PC replacements as versatility and mobility serves enterprise demand, prosumer demand, and now broader appeal to consumers with affordable, powerful Android and iPadOS options.
Samsung Channel Architecture Evolution 2024
This report provides an update on Samsung's channel architecture evolution with their introduction of the 4LPE technology used in the Samsung Exynos 2200 application processor.
Insight: Q1 2024 Smart TV Results – Anticipated Return to Growth is Starting Slowly
Insight: Q1 2024 Smart TV Results – Anticipated Return to Growth is Starting Slowly Share This Post Smart TV shipments grew 2.7% year-on-year (YoY) in the first quarter of 2024, reaching near 43 million units. The return to growth is weaker than
Insight: Q1 2024 Smart TV Results – A Slow Start to an Anticipated Return to Growth
Smart TV shipments grew 2.7% year-on-year (YoY) in the first quarter of 2024, reaching near 43 million units.
Sony A9 III Digital Camera Sensor (IMX810AQL) Device Essentials Folder
Sony A9 III Digital Camera Sensor (IMX810AQL) Device Essentials Folder Share This Post The Sony IMX810 (IMX810AQL), Full Frame, 24.6 MP, 5.9 µm Pixel Pitch, Voltage Domain Global Shutter Stacked Back-Illuminated CMOS Image Sensor was extracted from
Automotive Semiconductor Demand Outlook 2022 to 2031
lowing demand in the electric vehicle sector was a major factor in the market swing towards oversupply in the final quarter of 2023 which resulted in demand for semiconductors no longer being front-loaded and semiconductor suppliers facing pressure from customers to implement price reduction regimes.
Webinar - Navigating the Semiconductor Landscape: Market Projections and Geopolitical Impacts through 2029
View our webinar, "Navigating the Semiconductor Landscape: Market Projections and Geopolitical Impacts through 2029," to explore market trends and challenges in the recovering semiconductor industry. Discover insights on AI-driven growth and sector-specific demand shifts.
DRAM Memory Technology Roadmap Update for Q2 2024
Discover the latest advancements in DRAM technology as of Q2 2024, including updates on EUV lithography, HKMG processes, and emerging 3D DRAM developments from industry leaders like Samsung, SK hynix, and Micron.
Memory Technology Roadmap Update: Q2 2024 - Focus on 3D NAND
Discover the latest advancements in 3D NAND technology with our Q2 2024 Memory Technology Roadmap update. Learn about Samsung, KIOXIA, Micron, SK hynix, and YMTC's newest innovations and upcoming products.
Chip Observer: May 2024
Discover the latest in AI and tech with Chip Observer May 2024. Highlights include NVIDIA's Blackwell chip, Intel's Gaudi 3, Apple's M4, new AI tools, and significant geopolitical and funding updates.
Sony extends vendor share lead to 62% as the market enters a mid-cycle dip
The global game console market enjoyed a strong rebound in 2023, growing by more than 10% year-on-year (YoY) to 45 million units. Improvements in the supply chain, which had hampered vendors in 2022, helped Sony to meet the pent-up demand.
Insight: Q1 2024 Game Console Results - Sony Captures Record 62% Share as the Market Enters a Mid-Cycle Dip
The global game console market enjoyed a strong rebound in 2023, growing by more than 10% year-on-year (YoY) to 45 million units. Improvements in the supply chain, which had hampered vendors in 2022, helped Sony to meet the pent-up demand.
Insight: The Notebook PC Market is Expected to Grow 7% in 2024
The COVID era, which defined the years between 2020 and 2023, had a significant impact on the notebook PC market which will continue to resonate through the end of the forecast period of 2029.
India Smartphone Market Share by Channel by Vendor: Q1 2024
India Smartphone market grew by 10% annually in Q1 2024. Offline channels held an upper hand over online in the quarter. ECommerce retailers were the largest channel sub segment.
Tracker: Global Cellular Smartwatch Vendor Market Share: 2024 Q1
Global cellular smartwatch shipments fell by 7% YoY in the first quarter of 2024, with cellular penetration also falling slightly during the quarter as consumers reign in discretionary spending amid macroeconomic weakness and geopolitical uncertainty.
Apple Captured Half of the $100B Smartphone Industry’s Revenues in Q1 2024
A recent report by TechInsights smartphone research team breaks down the distribution of smartphone wholesale ASP, revenue and operating profit by vendor.
HiSilicon Kirin 9000s (SMIC 7nm, N+2) Process Flow (Full) Analysis
HiSilicon Kirin 9000s (SMIC 7nm, N+2) Process Flow (Full) Analysis Share This Post This is a process flow full report that provides a Synopsys 3D emulation analysis of the process flow and integration used in the manufacture of the HiSilicon 9000s
Feature Phone Sales Forecast for 88 Countries : 2007 to 2029
TechInsights continues to expect that global smartphone sales will rebound at 3% YoY in 2024. However, we now anticipate a decline in smartphone sales in North America and Western Europe due to reduced consumer disposable income given prolonged inflation.
Global Smartphone Shipments Forecast by Vendor by Region by Quarter
TechInsights has bumped up smartphone forecast numbers in 2024 due to better-than-expected Q1 performance and improved outlook in emering markets, which will compensate the mixed outlook in North America and Western Europe. Global smartphone and handset shipments are expected to grow 4% and 2% year-over-year (YoY) respectively in 2024.
GigaDevice GDP1BFLM-CB CXMT G3 2Gb DDR3L SDRAM Advanced Memory Essentials
Insight on the structure and materials used in the manufacture of the GigaDevice HUANGSHAN4G G3 2 Gb DDR3L SDRAM die.
May McClean Report 2024
The May update to The McClean Report adds to the news from the April update on recently awarded CHIPS Act funding.
Market Data: Connected TV Devices
Timely tactical data on connected TV device market competition and long-term market outlooks is analysed in the following reports.
Insight: Tablet Market Share Q1 2024 – Market Approaches Net Growth Despite Slumping Apple Results
Led by strong results from Android vendors and resurgent Chinese brands in their domestic market, global tablet market shipments contracted only 3% in Q1 2024 compared to the year-ago quarter.
ODM sales soar as hyperscalers and cloud providers go direct
TechInsights' 2023 server market analysis shows that the world spent $120 billion on over 13.5M servers in 2023. Original design manufacturers (ODMs) now represent 40% of direct server sales.
Complimentary – ODM sales soar as hyperscalers and cloud providers go direct
TechInsights' 2023 server market analysis shows that the world spent $120 billion on over 13.5M servers in 2023. Original design manufacturers (ODMs) now represent 40% of direct server sales.
AWS NVIDIA shortages demonstrate need for custom silicon
AWS NVIDIA shortages demonstrate need for custom silicon Share This Post Our research shows that even Amazon Web Services can’t get access to the latest NVIDIA technology. For a week, TechInsights probed AWS to see what accelerators were available in
Complimentary – AWS NVIDIA shortages demonstrate need for custom silicon
Our research shows that even Amazon Web Services can’t get access to the latest NVIDIA technology. For a week, TechInsights probed AWS to see what accelerators were available in what regions.
Global Smartphone Foldable Display Forecasts to 2029
The display is the main technology that defines usability and perceived quality of a smartphone. Foldable displays are the latest new display technology that has emerged for smartphones.
TaiXin Semiconductor TXW8301 Wi-Fi HaLow SoC Floorplan Analysis
The TXW8301 is a Wi-Fi HaLow system on chip (SoC). Wi-Fi HaLow is a long-range implementation of Wi-Fi technology based in the 750-950 MHz spectrum with low power and long range, introduced by WiFi Alliance and IEEE.
Baseband Market Share Model Q1 2024: Optimism Returns as 5G Poised to Overtake LTE
The global cellular baseband processor market grew 5 percent year-on-year (volume shipments) in Q1 2024 as the industry continues to recover from the disruptive inventory adjustments that plagued the industry in 2023.
Global Bluetooth Headset Sales, Installed Based and Revenue Forecast to 2028
Bluetooth headset revenues will grow slightly, driven by TWS headsets but also banded headsets. In 2022 growth slowed due to the economic downturn, but the BT HS market remains a growing industry.
Report Overview: Resideo Buys Snap One
The consolidation of the smart home market was impacted by Resideo’s recent acquisition of Snap One in April 2024. Resideo’s ADI Global Distribution and Snap one have combined forces to bring the power of choice to professional installers and integrators.
AMD Ryzen 9 7950X3D Advanced CMOS Process Analysis
This report provides an analysis of the structure and materials used in the manufacture of the of the AMD Ryzen 9 7950X3D CCD (compute chiplet), fabricated using TSMC’s N5 HPC finFET process.
Micron Y5BP D1β 12 Gb LPDDR5X DRAM Memory Floorplan Analysis
Analysis of the floorplan design used in the Micron Technology MT62F1536M64D8ZA-023 (Y5BP Die) D1β 12 Gb LPDDR5X and includes an executive summary and supporting image sets optical, X-ray, SEM cross sectional, and SEM bevel imaging sets.
SEC Court Challenge Sidetracks Emissions Reporting Requirements
Unveiling a full picture of a semiconductor's carbon footprint requires a deep dive into the supply chain.
China's Semiconductor Production Capacity to Grow by 40% in Five Years
Gain insights into China's semiconductor industry with TechInsights, foreseeing a 40% capacity growth over five years, driven by equipment purchases and strategic investments, shaping global markets and trade policies.
Deep Dive Teardown of the Amazon Echo Show 8 3rd Gen R855D6 Smart Display
The design wins for the Amazon Echo Show 8 3rd Gen is divided between different manufacturers. The application processor comes from Amlogic while WiFi Front-end comes from Mediatek. As for the memory, SK Hynix provided 2 GB LPDDR4 SDRAM and Samsung provided 8 GB MLC NAND flash.
Advanced TSMC 22ULL Embedded RRAM Chip Unveiled
Discover the advanced TSMC 22ULL embedded Resistive RAM (eRRAM) chip featured in Nordic Semiconductor's latest nRF54L SoC devices.
A Tale of Two Foundries (TSMC and HLMC) and One Design House (HiSilicon)
Explore HiSilicon's Hi1105-GFCV100 module and its manufacturing journey between TSMC and HLMC foundries, highlighting the design consistency and adaptability in the semiconductor industry amidst global chip shortages.
Insight: Computex Preview – Microsoft Debuts Copilot+ PCs Powered by Qualcomm
In a press-only event the day before Microsoft Build, Microsoft launched a new category of Windows PCs designed for AI called Copilot+ PCs.
Generative AI in the Telecom Industry
Explore how Generative AI is revolutionizing the telecom industry by automating tasks, optimizing networks, and enhancing customer experiences.
Forecast: Advanced Driver Assistance Systems 2022-31 - Q2 2024 - Powertrain, Body, Chassis & Safety
This Advanced Driver Assistance System (ADAS) market forecast shows strong growth, driven by legislation, NCAP regimes and a push towards more automated driving. Key changes in this update include a boost to future discrete ADAS demand due to slower adoption of centralized architectures than was previously expected. The market for ADAS technologies is thus now expected to grow at a CAAGR of 13.4% over 2023 to 2028, with content-per-vehicle increases boosting demand significantly more than the growth in vehicle production.
OmniVision OV50H Advanced Floorplan Analysis
An advanced floorplan analysis of the image signal processor (ISP) die from the OmniVision OV50H ISP, 1/1.3”, 50 MP, 1.2 µm Pixel Pitch Stacked Back-Illuminated PureCel®Plus-S CMOS Image Sensor with Quad Phase Detection (QPD) Autofocus Technology was extracted from the Xiaomi 14 Pro rear camera.
Insight: Q1 2024 Notebook PC Results – PC Market Continued Path to Recovery, Grew 7%
Notebook PC shipments grew 7% in the first quarter of 2024 versus the same period a year ago and totaled 46.1 million units. This is the second quarter the PC market has grown after falling for eight consecutive quarters.Thank you for your question
Thank you for your question for our webinar. We are thrilled to have you on board for our upcoming event.2024 Beijing Auto Show: Key Themes
The 2024 Beijing Auto Show was held between April 25 and May 4th, 2024. The 10-day event attracted more than 1,500 exhibitors including OEMs, automotive suppliers, and automotive technology companies. Centralized computing platforms, generative AI, and international business expansion were key trends at the show.Canon 3.2 MP Stacked SPAD Image Sensor Device Essentials Folder
The Canon LBC010 features 3.2 MP resolution, 6.39 μm pixel pitch*, stacked, back-illuminated (BI) direct-time of flight (d-ToF) single photon avalanche diode (SPAD) LiDAR sensor extracted from Canon MS-500 camera. This Canon LBC010 is introduced by an ultra-small SPAD sensor capable of capturing the world's highest resolution of 3.2 MP with high sensitivity in low-light environments.Insight: Matter 1.3 Delivers a Boost for Smart Home Interoperability
The importance of supporting the Matter ecosystem for consumer electronics component suppliers continues to grow with the Connectivity Standards Alliance (CSA) releasing version 1.3 of the Matter standard and software development kit (SDK) on May 8, 2024. Despite the slow rollout of Matter enabled products by manufacturers so far, the addressable market for Matter-enablement continues to expand.Tracker: USA: Smartphone Shipments & Marketshare by Model: Q1 2024
The United States is the world's most influential smartphone market. It delivers high volume and revenue to device makers, component suppliers and operators. Our extensive report shows the top-50 best-selling smartphone models in the United States in the first quarter of 2024. Besides popular Apple and Samsung flagships, which brands and models have made their way into the bestsellers and what is the secret behind their success?Denso BCD-on-SOI Toyota Prius Battery Control Module (2023) PMIC Essentials
Analyzing the Denso SV061 power management integrated circuit (PMIC) from the Toyota Prius Mk5 battery control unit (BCU), it reveals collaborative efforts between Denso and Toyota to advance hybrid technology.Report Overview: 5G mmWave Enters the Global Mainstream
mmWave 5G bands have encountered some growing pains on a global scale since initial launch due to a litany of factors. However, recent market trends have indicated that future deployments are likely to gain traction in countries outside the United States and Japan.Insight: UHD TV Forecast Q1 2024 - Samsung Focuses on Upscaling in Absence of Native 8K Content
While large screen TVs are all the rage, 8K is not, with 409K units shipping globally in 2023. While global 8K shipments will continue to grow year-over-year it is not until the end of the decade that we will start to see an adequate number of 8K TVs shipping (20.7 million in 2029) that they will begin building a sufficient installed base to be relevant in the marketplace. This Insight examines what TV brands, like Samsung, are doing to help boost 8K TV sales.Memory Pricing Report – May 2024
This monthly update delves into the dynamic and volatile pricing landscape of mainstream DRAM and NAND memory, offering an examination of pricing trends over the past three months and projecting developments for the upcoming year.Infineon BTS7008 Automotive Smart High-Side Power Switch PMIC Essentials
Within the (PROtected FET) PROFETTM+2 12 V family, Infineon's BTS7008-2EPA is examined alongside the utilization of Infineon’s SMART7 high-side switches technology.
Google is the third-largest designer of data center processors as of 2023… without selling a single chip
Discover how Google, without ever selling a single chip, has risen to become the third-largest designer of data center processors by pioneering AI accelerators like TPUs.Executive Summary: Generative AI for Telcos drives Productivity in 2024
Generative AI brings new opportunities for significantly enhanced automation of customer engagement, operations and software development as well as new revenues. However, while Gen. AI will enable new services in the long run, in the near term it can dramatically lower costs and improve productivity leading directly to better profit margins for telecoms operators.Tracker: Global Bluetooth TWS Shipments, Revenues and ASP by Price Tier by Vendor: Q1 2024
Premium-tier remains as the most valuable TWS segment but Entry-tier rises to the top in shipments. Apple is the undisputed leader in total TWS shipments having most of its shipments in the premium segment. This report looks at the shipment volumes as well as ASPs and revenues of the top-22 vendors in detail for 2016-Q1 2024.Tracker: Global Bluetooth TWS Shipments by Region: Q1 2024
Apple remains the shipments leader in Bluetooth True Wireless Stereo (TWS) headsets. AirPod Shipments declined -6% YoY in Q1 2024. Overall TWS headsets growth has slowed down YoY but India is still driving growth. This report looks at the shipment volumes of the top-22 vendors in detail for 2016-Q1 2024. The report offers valuable insights to all designers, vendors, operators, distributors, etc working with TWS headsets.Q1 2024: Vivo: Slipped out of the Top Five Smartphone Rankings
Vivo’s smartphone volumes and revenue declined to record levels in Q1 2024. It is now out of the top five global smartphone rankings in the quarter. Its financials including ASP’s on a slow downward spiral need a revival. The traditional challenges of geo-diversification, flagship success and competition having an upper hand over it in smartphone segments like 5G, foldables are yet to be addressed.Canada Smartphone Vendor Marketshare by Operator: Q1 2024
Smartphone shipments in Canada grew in Q1 2024 compared to the year-ago quarter, with just one of the five leading vendors seeing shipments decline year-on-year. Canada is a mature market in which replacement sales make up most smartphone volumes, limiting growth. Nonetheless, Canadian consumers are once again upgrading their smartphones. The big three vendors in the Canadian market this quarter are Apple, Samsung, and Google.Global Smartphone Monthly Shipments (Sell In), Sales (Sell Through) and Inventory Variance by Vendor: May 2024
TechInsights tracked global smartphone shipments (sell in) increased by 6% YoY, and sales (sell through) went up by 3% YoY in April 2024. This rebound in the smartphone industry is attributed to normalized inventory levels, resumed product launches from vendors, and increased demand from end consumers in emerging markets.Prophesee GenX320 Device Essentials Plus
The Prophesee GenX320ES is a monochrome, 1/5-inch optical format, 320 × 320 pixels resolution, stacked back-illuminated (BI) event-based Metavision® image sensor (CIS) for embedded vision and many power-sensitive applications. The Prophesee GenX320ES features non-shared pixel architecture using a gain-boosted log amplifier (LOGA) and pixel-level interconnect for a dynamic vision sensor (DVS).Deep Dive Teardown of the Huawei 192 Line LiDAR L306 LiDAR Sensor
The L306 debuted as the front LiDAR scanner in the AITO M9 – an all-electric luxury SUV launched under the joint brand of Seres Group and Huawei. Huawei’s new LiDAR is a hybrid solid state with a four-sided rotating prism type. It has a 120° horizontal by 20° vertical field of view with a scan rate of up to 20 Hz. Its maximum detection range is 250 m, or 180 m for objects with 10% reflectivity.Deep Dive Teardown of the Crucial T705 CT2000T705SSD5 SSD
PHISON Electronics Corp. provided PS5026-E26 PCIe Gen5.0x4 NVMe 2.0 SSD Controller, manufactured using 12 nm process technology, ensuring efficient data handling, and PS6126-45 Power Management, which optimizes power consumption for enhanced efficiency. Texas Instruments TMP108 Digital Temperature Sensor is included in SSDs to track operating temperatures and guarantees consistent performance in various scenarios.MediaTek Company Profile
This report contains a profile of MediaTek, a prominent fabless semiconductor vendor for the mobile industry. MediaTek currently has the market leadership in mobile application processors for the Android smartphone ecosystem but trails arch rival Qualcomm as in volume of cellular basebands sold worldwide. In addition to mobile semiconductors, MediaTek produces chipsets for connectivity, networking, smart devices and beginning to venture into automotive.Tracker: Lead Times for Automotive Semiconductors Q1 2024
This file contains lead times for automotive semiconductor components. Automotive semiconductor lead times stabilized in Q1 2024 reaching an average of 14.3 weeks. This was only a half week lower than Q4 2023 average of 14.9 weeks. Most component lead times are at pre-pandemic levels at under 17 weeks, except embedded processor and discrete lead times are above 20 weeks.Q1 2024: Realme: Strong Rebound, Headwinds Remain in India
Realme smartphone shipments grew a strong 17% YoY in Q1 2024. The macroeconomic scenario improved compared to Q1 2023 plus new product launches coupled with weak Q1 2023 led to the annual growth.Analysis: Automotive Passive Semiconductor Component Lead Times Fell to Pre-pandemic Levels in Q1 2024
Automotive passive semiconductor lead times fell to the lowest level in seven quarters in Q1 2024 reaching an average of 16 weeks. Passive semiconductors as well as most other automotive components have average lead times at pre-pandemic levels except for a few exceptions. We expect lead times to remain at similar levels throughout 2024.Analysis: Automotive Semiconductor Lead Times Stabilized in Q1 2024
Analysis of Q1 2024 automotive semiconductor lead times data. Automotive semiconductor component lead times continued falling for the sixth consecutive quarter in Q1 2024 but at a much slower rate. Most semiconductor component lead times are at pre-pandemic levels. We expect lead times to remain at similar levels throughout 2024.Global Handset Vendor Marketshare for 15 Countries: Q1 2024
Global handset shipments rebounded for two quarters in a row, after nine consecutive quarters of decline, by 7% YoY in Q1 2024, signalling that market shows a clearer sign of stabilization. Several Chinese brands including Tecno, Honor, Xiaomi and Huawei drove the shipments growth.Google I/O 2024: The Automotive AI Story Is More than Meets the Eye
Artificial Intelligence (AI) took the center stage at Google's 2024 flagship developer event, Google I/O. Although automotive-specific announcements didn’t seem to specifically highlight the company’s Gemini AI initiatives, a closer look reveals some major developments likely happening behind-the-scenes, portending a major move for Gemini in the automotive space. Read TechInsights' analysis of the announcements at the event, and their implications for automotive.Deep Dive Teardown of the Sony PlayStation Portal CFI-Y1016 Streaming Game Controller
Sony claims that the Sony PlayStation Portal CFI-Y1016 has a 4300 mAh battery which can last between seven to nine hours during active use. Compared to the Valve Steam Deck 1010, Valve claims that their device with a 5200 mAh battery can last up to eight hours during active use. Both compared devices use 60 Hz TFT displays.Deep Dive Teardown of the Quectel FGH100M Wireless Module
The FGH100M comes in a compact Land Grid Array (LGA) package measuring 13.0 x 13.0 mm, ensuring easy integration into a variety of IoT devices. It has a one pin for connecting a WiFi antenna, allowing for simple antenna connection.
New iPad Pro Models: One M4 to Rule them All
Discover the latest iPad Pro models - TechInsights dissects the internals of two variants, comparing processors to discern if they share the same M4 chip or if differences lie beneath the surface.VALUE SHARE: Global Smartphone Revenue, ASP and Profit by Vendor by Price Tier: Q1 2024
Global smartphone industry wholesale revenue grew 1% YoY thanks to the higher smartphone shipments which increased 10% YoY in Q1 2024, while smartphone shipment ASP went down 8% YoY. Apple maintained leadership but lost revenue share annually. Samsung followed with the improved revenue share from last year. Xiaomi gained revenue share and maintained the third position followed by Huawei.
Webinar: Made in China? A Deep Dive into the Huawei Pura 70 Ultra
Join TechInsights experts as we explore the impact of the ever-increasing Huawei smartphone range and its implication on the industry and the semiconductor sector.
The Best Semiconductor Equipment Suppliers of 2024
Explore the top semiconductor equipment suppliers of 2024 as honored by THE BEST Awards.China Provides Several Updates to their NEV Policies
The China NEV Policy Update document lists several policies related to the development and regulation of the automotive and transportation sectors in China, with a focus on promoting electric vehicles (EVs), enhancing industry standards, and fostering innovation.Huawei Pura 70 Pro Has More Made-in-China Image Sensors than Pura 70 Ultra
This report provides a look into Huawei Pura 70 Pro image sensors. Out of four image sensors, three are Omnivision’s and two of them are made-in-China.
Apple iPad Pro: Unboxing
Excited to unbox the new Apple iPad Pro? Dive deeper into the tech behind the device with our FREE unboxing video on the TechInsights Platform.Navigation and Location Based Services – Growth Story Shifts to Services
In TechInsights’ Navigation and Location-Based Services report, the growth story in the market shifts to software and services as more than 50% of new cars globally are shipping with embedded navigation systems. Cloud-based navigation sees an increase in popularity, with embedded/hybrid systems continuing to maintain their dominance in unit shipments through 2031.Automotive Semiconductor TAM by Powertrain Type - Q2 2024
This market forecast report shows that battery electric vehicles are expected to be the fastest growing vehicle type for automotive semiconductor demand, with a CAAGR of 30% expected over 2023 to 2028. It details how automotive semiconductor demand is expected to split out by each major powertrain type (Conventional, Mild Hybrid, Full Hybrid, Plug-In Hybrid, Battery Electric Vehicle and Fuel Cell Electric Vehicle) and application domain (ADAS, Body, Chassis, Conv. Engine Control, Conv. Safety, Driver Info, HEV/EV, Transmission, Zonal) of the end vehicle.Forecast: Automotive Semiconductor TAM by Sector - Q2 2024
This market forecast report shows that Economy Vehicles are now the fastest growing vehicle sector for automotive semiconductor demand, with a dollar CAAGR of 14.5% expected over 2023 to 2028. It details how automotive semiconductor demand is expected to split out by vehicle sector (Low-Cost, Economy, Non-Premium, Premium and Super-Premium) and application domain (ADAS, Body, Chassis, Conv. Engine Control, Conv. Safety, Driver Info, HEV/EV, Transmission, Zonal) of the end vehicle.Automotive Semiconductor TAM by OEM Group - Q2 2024
This market forecast report shows that Jianghuai Automotive is expected to be the fastest growing vehicle OEM for automotive semiconductor demand, with a dollar CAAGR of 27% expected over 2023 to 2028. BYD will gain the most share of automotive semiconductor demand.Component Price Landscape (CPL) Biweekly Update - Circuit Protection and Connectors
Biweekly update of the Component Price Landscape.Qualcomm to breathe life into the PC industry?
Qualcomm’s Snapdragon X family is the most promising attempt to break the PC x86 monopoly and ignite new competition in the market. The Snapdragon X Elite is a mighty piece of silicon, and our hands-on experience and company benchmarks tend to confirm this impression. Qualcomm is reinvesting in the PC market with great ambitions and preparation. Will this be a revolution or just the beginning of a transition?
THE 10 BEST Awards
Discover the top-performing semiconductor equipment suppliers honored by the 10 BEST Awards.Global Smartwatch ASP & Revenue Forecast for 88 Countries: 2012 to 2029
Global smartwatch revenues will rise by 3% in 2024, rebounding after a 2% dip in 2023. Annual revenue growth is expected to peak at 5% in 2025, remain above 4% through 2027, after which it would slow down, remaining above 2% in 2029. Even though there was a 2% annual ASP increase in 2023, ASPs are expected to decline for the entire forecast period from 2024 through 2029.Deep Dive Teardown of the Realme GT 5 Pro (RMX3888)
The Realme GT5 Pro opted for BOE's solution for its display. It offers a resolution of 2780x1264 in a 6.78" diagonal package. The manufacturer also claims that it achieves a peak brightness of 4500 nits, which is over double the brightness of the Samsung S23 Ultra or Apple 15 Pro Max. We were unable to verify this performance. However, given the nature of OLED displays, it's unlikely that the device will maintain the peak brightness level for extended periods.Tracker: Global Foldable Display Smartphone Vendor Market Share by Design Type: Q1 2024
Huawei was the leader in foldable-display smartphone shipments in Q1 2024, followed by Honor and Samsung. Shipments grew YoY, but Samsung declined heavily. Huawei, Honor and Motorola increased share. Booklet foldables commanded the largest share of the foldable designs and saw the strongest YoY growth due Chinese fondness to booklet type foldables.Tracker: Global Foldable Display Smartphone Vendor Market Share by Region: Q1 2024
Huawei was the leader in foldable-display smartphone shipments in Q1 2024, followed by Honor and Samsung. Shipments grew YoY, but Samsung declined heavily. Huawei, Honor and Motorola increased share. Asia-Pacific was a leader in foldable-display smartphone shipments in Q1 2024. Motorola continues great performance and leads growth.Generative AI for Telcos drives Productivity in 2024
Generative AI brings new opportunities for significantly enhanced automation of customer engagement, operations and software development as well as new revenues. However, while Gen. AI will enable new services in the long run, in the near term it can dramatically lower costs and improve productivity leading directly to better profit margins for telecoms operators.Qualcomm HG11-34443-2 (QTM565) FR2 Tx/Rx Front End Die Floorplan Analysis
The Qualcomm HG11-34443-2 was found inside Qualcomm QTM565-201. The QTM565-201 was extracted from the Samsung Galaxy S24 Ultra smartphone. The Qualcomm QTM565-201 mmWave AiP module contains the RF path from the antenna to the RF Transceiver. It is Qualcomm's 5th generation mmWave solution for supporting global 5G mmWave bands and succeeds the QTM545 mmWave AiP module. The Qualcomm HG11-34443-2 die is the FR2 transceiver from the QTM565-201 and is the target for this analysis.West Europe xEV System, Semiconductor and Sensor Demand Forecast 2022 to 2031 - May 2024
This TechInsights data model presents a view of the West Europe outlook for xEV systems and associated semiconductor and sensor demand. Despite the uncertainties and challenges posed by the Ukraine conflict, semiconductor shortages, and a slowing domestic and global economy, the push towards electrification and battery electric vehicles is not slowing down with volume demand continuing to grow in the long term.Thailand xEV System, Semiconductor and Sensor Demand Forecast 2022 to 2031 - May 2024
This TechInsights data model presents a view of the Thailand outlook for xEV systems and associated semiconductor and sensor demand. The market remains at an early stage with a primary emphasis towards hybrid platforms. Deployment of battery electric vehicles remains at an early stage with volumes still expected to be lower than for the combined hybrid platform volumes.South Korea xEV System, Semiconductor and Sensor Demand Forecast 2022 to 2031 - May 2024
This TechInsights data model presents a view of the South Korea outlook for xEV systems and associated semiconductor and sensor demand. Despite the uncertainties and challenges posed by the Ukraine conflict, semiconductor shortages, and a slowing domestic and global economy, the push towards electrification and battery electric vehicles is not slowing down with volume demand continuing to grow in the long term.Russia xEV System, Semiconductor and Sensor Demand Forecast 2022 to 2031 - May 2024
This TechInsights data model presents a view of the Russia outlook for xEV systems and associated semiconductor and sensor demand. The market remains at an early stage and is further impacted by international OEMs pulling out of the market. Deployment of battery electric vehicles remains at an limited, though the market will be larger than the hybrid market by the end of the forecast period.ROW xEV System, Semiconductor and Sensor Demand Forecast 2022 to 2031 - May 2024
This TechInsights data model presents a view of the rest of world (ROW) outlook for xEV systems and associated semiconductor and sensor demand. The market remains at an early stage with a primary emphasis towards hybrid platforms. Deployment of battery electric vehicles remains at an early stage with volumes still expected to be lower than for the combined hybrid platforms.NAFTA xEV System, Semiconductor and Sensor Demand Forecast 2022 to 2031 - May 2024
This TechInsights data model presents a view of the NAFTA outlook for xEV systems and associated semiconductor and sensor demand. Despite the uncertainties and challenges posed by the Ukraine conflict, semiconductor shortages, and a slowing domestic and global economy, the push towards electrification and battery electric vehicles is not slowing down with volume demand continuing to grow in the long term.Japan xEV System, Semiconductor and Sensor Demand Forecast 2022 to 2031 - May 2024
This TechInsights data model presents a view of the Japan outlook for xEV systems and associated semiconductor and sensor demand. Despite the uncertainties and challenges posed by the Ukraine conflict, semiconductor shortages, and a slowing domestic and global economy, the push towards electrification is not slowing down with volume demand continuing to grow.India xEV System, Semiconductor and Sensor Demand Forecast 2022 to 2031 - May 2024
This TechInsights data model presents a view of the India outlook for xEV systems and associated semiconductor and sensor demand. Despite the uncertainties and challenges posed by the Ukraine conflict, semiconductor shortages, and a slowing domestic and global economy, the push towards electrification and battery electric vehicles is not slowing down with volume demand continuing to grow in the long term.Global xEV System, Semiconductor and Sensor Demand Forecast 2022 to 2031 - Powertrain, Body, Chassis & Safety - May 2024
This TechInsights data model presents a view of the Global outlook for xEV systems and associated semiconductor and sensor demand. The uncertainties and challenges posed by a slowing domestic and global economy will slow the momentum behind battery electric vehicles in the short term.Global xEV System, Semiconductor and Sensor Demand Forecast 2022 to 2031 - May 2024
This TechInsights data model presents a view of the Global outlook for xEV systems and associated semiconductor and sensor demand. The uncertainties and challenges posed by a slowing domestic and global economy will slow the momentum behind battery electric vehicles in the short term. In the mid- to long-term, the push towards electrification and specifically battery electric vehicles will be maintained with volume demand continuing to grow.East Europe xEV System, Semiconductor and Sensor Demand Forecast 2022 to 2031 - May 2024
This TechInsights data model presents a view of the East Europe outlook for xEV systems and associated semiconductor and sensor demand. Despite the uncertainties and challenges posed by the Ukraine conflict, semiconductor shortages, and a slowing domestic and global economy, the push towards electrification and battery electric vehicles is not slowing down with volume demand continuing to grow in the long term.China xEV System, Semiconductor and Sensor Demand Forecast 2022 to 2031 - May 2024
This TechInsights data model presents a view of the China outlook for xEV systems and associated semiconductor and sensor demand. Despite the uncertainties and challenges posed by the Ukraine conflict, semiconductor shortages, and a slowing domestic and global economy, the push towards electrification and battery electric vehicles in particular is not slowing down with volume demand continuing to grow.Brazil xEV System, Semiconductor and Sensor Demand Forecast 2022 to 2031 - May 2024
This TechInsights data model presents a view of the Brazil outlook for xEV systems and associated semiconductor and sensor demand. The market remains at an early stage with a primary emphasis towards hybrid platforms. Deployment of battery electric vehicles remains at an early stage with combined volumes still expected to be lower than for hybrid platforms.OECD Mobile Broadband Price Benchmarking Q1 2024
The Q1 2024 update of the OECD Mobile Broadband Price Benchmarking service is now available for download and includes over 1,100 mobile broadband tariff plans from more than 100 providers across 38 OECD countries. The OECD Mobile Broadband Price Benchmarking Service uses the OECD 2017 baskets. There is no distinction between device types, so all baskets can be used with any device. Historical pricing for the OECD countries over several years is also available.USA Smartphone Vendor Marketshare by Operator: Q1 2024
Smartphone shipments in the United States were down year-on-year in Q1 2024. Apple was number one in hardware shipments and Samsung led in the Android space. Verizon was the market smartphone shipment leader. This report tracks smartphone shipments, vendor market share, and OS market share for the major brands and major OS platforms in the United States across 3 top operators and the total market on a quarterly basis from Q1 2011 to Q1 2024. Operators covered include Verizon Wireless, AT&T and T-Mobile.USA Handset Vendor Marketshare by Operator: Q1 2024
Handset shipments in the United States declined year-on-year in Q1 2024. Apple led across major carriers, followed by Samsung, which led Android, and then by Motorola, and TCL-Alcatel. Verizon Wireless was the standout operator. This extensive report tracks mobile handset shipments and vendor market share for major vendors at the "Big 3" operators in the United States on a quarterly basis from Q1 2009 to Q1 2024.Apple iPhone Shipments by Model: Q2 2007 to Q1 2024
Apple global iPhone shipment decreased 11% annually during Q1 2024, underperforming overmarket which went up 10% YoY. iPhone 15 Pro Max topped the chart this quarter, followed by iPhone 15 Pro and 15. iPhone 14 Pro Max and iPhone 15 Plus ranked the top 5 list too. This report details global shipments for every iPhone model since its launch through Q1 2024, from the iPhone 2G to the iPhone 15.Telecoms Operators Pioneering Use Cases for Generative AI
Internally operators have already begun to leverage Gen. AI to automate not only administrative tasks, but also new use cases for network optimization and problem resolution with ‘domain specific’ LLMs (Large Language Model) for their own use. And externally, Gen AI. Use cases for customer self-care, enhanced service operations and secure access authentication are already reducing telecoms operating costs.Deep Dive Teardown of the HP Spectre X360 16-F2097NR Laptop
HP continues its design tradition of using an aluminum enclosure fitted to all internal components. Samsung provides a 16-inch 3840x2400 pixel OLED Display with a multitouch Touchscreen. The brightness of that display is 400 nits. Dimension is 351.80 x 233.4 mm with a weight of 334.4 grams. The stylus is charged by USB Type-C. The total weight is 14.5g. The stylus is a part of the laptop and is included in the box.Ultra High Definition TV Displays: Global Market Forecast
This report forecasts global demand for Ultra High Definition 4K and 8K TVs across 6 regions and 88 countries from 2014 to 2029. The report provides historical and forecast data for total Ultra High Definition TVs split by 4K and 8K.Public Cloud Demand Forecast Q2 2024: CPUs, Accelerators, and Memory
This workbook provides a forecast on public cloud demand in terms of hours of consumption. It also translates hourly consumption into the equivalent number of processors and accelerators sold by cloud providers, and the minimum shipments required by cloud providers to sustain demand.Intel Core Meteor Lake (Intel4) I/O Die Digital Floorplan Analysis
Intel Core Meteor Lake (Intel4) I/O Die Digital Floorplan Analysis Share This Post The SRMZ1 is a 3D packaging assembly comprising a side-by-side arrangement of four dies, including an input/output (I/O) die with die makings 8PNVC, mounted on aTSMC Gate Architecture Evolution 2024
This report is based on the reverse engineering results developed by TechInsights and coupled with the knowledge and expertise of TechInsights’ subject matter experts. It includes publicly available information and discussed to help better understand the process and focuses only on devices fabricated by TSMC.TSMC 28 nm eFlash (Renesas RH850/U2A8) Process Flow Analysis
Analysis of the process flow and integration used in the manufacture of the Renesas automotive microcontroller R7F702301BEBBA-C_BC6 comprising a Renesas R7F702301B die, built in TSMC 28 nm embedded flash memory technology. The spreadsheet lists the hundreds of process flow steps required in its manufacture.GPU Cloud Providers Step-Up Where the Hyperscalers Fall Down
The surge in enterprise cloud adoption and the growing demand for GPU-accelerated computing has presented a golden opportunity for smaller, GPU-focused cloud providers. The goal of these so-called GPU Cloud providers isn’t to replace cloud hyperscalers; instead, they aim to complement them within enterprises’ multi-cloud strategies.How could SMIC achieve 5 nm?
This is a short summary highlighting the path that SMIC might take to achieve 5 nm technology.Deep Dive Teardown of the Iridium Satellite LLC 9603N Satellite Module
The Iridium Satellite LLC 9603N is an IoT Module that supports two-way satellite communication connectivity. The Iridium 9603 delivers Iridium Short Burst Data in an extremely small form factor. Iridium Short Burst Data (SBD) - simple, efficient packet-based service for frequent short data transmissions between equipment and centralized host computer systems.TechInsights’ Storage Team Takes You Inside the Huawei Pura 70 Ultra Smartphone
TechInsights' teardown of the Huawei Pura 70 Ultra reveals the intricate details of this cutting-edge smartphone. Powered by the Kirin 9010 chipset, this device boasts impressive performance capabilities. Our analysis delves deep into the Kirin 9010, assessing its fabrication process and comparing its efficiency and performance to previous models.
TechInsights confirms NAND and DRAM providers in Huawei Pura 70 Ultra
Unveiling the hidden origins of NAND and DRAM components, explore Huawei's strides towards a fully Chinese smartphone amid geopolitical pressures.Unveiling the Top Semiconductor Equipment Suppliers of 2024
For the past 36 years TechInsights has formally evaluated semiconductor equipment companies based on feedback from our customers to recognize the very best in the industry, and we are thrilled to share the results next week! Stay tuned as we unveil the winners next week and subscribe to the TechInsights Newsletter for the latest updates.New York City Announces Robotaxi Permitting Plan
New York City has announced a new permitting program for autonomous vehicle (AV) testing on its roads with the requirement of the presence of a safety driver plus various licensing, self-certification, and data reporting obligations. This short form report discusses this new program and its implications for AV testing in New York City and the state of New York.Automotive Semiconductor Demand Forecast 2022 to 2031 - May 2024
This TechInsights data forecast provides the latest metrics identifying size and growth rate of the future semiconductor demand total across the Powertrain, Body, Chassis, Safety, and Driver Information application domains for the main light vehicle producing regions: North America, Japan, Europe, Russia, South Korea, China, India, Brazil, Thailand, and rest of the world.Report Overview: Telecom Equipment Market Update
TechInsights' Telecom Network Equipment Market Update provides the latest news and trends on several big-name players in the industry in 2024. The market experienced some regression in 2023 after multiple years of sustained steady growth, due in part to operators becoming more selective with how they choose to allocate resources for their initiatives.Report Overview: Cockpit Innovations; Streaming, Gaming, Audio
TechInsights' report on technological innovations in the automotive in-cabin cockpit delves into the newest exciting front passenger user experience display capabilities. In-car infotainment enhancements in video, audio, streaming and gaming content have revolutionized how car owners interact with their surroundings.Report Overview: Can OliverIQ Transform The Smart Home Market?
OliverIQ is changing the Smart Home-as-a-Service market. The platform is uniquely capable of supporting the vast majority of smart home devices while ensuring a pain-free user experience complimented by customer support resources that will ease the challenges of existing smart home launches.Value Share: Global Handset Vendor ASP and Revenue Share by Region: Q4 2023
Global Wholesale Handset industry revenues grew by 7% annually in Q4 2023. Apple. Samsung and Xiaomi were the top three vendors. Asia Pacific was the largest region both by value and volume in the quarter.From Slowdown to Surge: Smart Speakers Poised for Future Growth
As per TechInsights’ recently published report Smart Speaker and Smart Display Shipment and Installed Base Forecast for 88 Countries: 2014 to 2029, the smart speaker market will see a return to growth from 2025 as the economic climate improves and new markets are opened as major vendors expand their product availability to more countries.Analysis: Qualcomm's Automotive Momentum Continues with Q2 2024 Earnings
Qualcomm continues to increase its revenue in the automotive industry, with the company’s Q2 2024 automotive-specific revenue reaching USD 603 million for Q2 2024. This analysis discusses the company’s growth in the automotive semiconductor market and how its earnings compare to recent historic progress in this segment.Deep Dive Teardown of the Nothing Phone 2 A065 Smartphone
The Nothing Phone 2 A065 is Nothing’s second smartphone. It was released on July 17, 2023. The mobile runs the Nothing OS 2.0 (based on Android 13) operating system. This model (A065) is a Global model.Tracker: China Automotive Policy Database 2024 Q2
This database provides a panoramic view of policies in China market covering the topics of connected vehicle, 5G/V2X, AI, automotive chip, OTA, intelligent infrastructure, data security and cyber security. It illustrates each policy/standard’s name, implementation date, issuing body, scope, function/value, and the URL of original policy document. This database will be updated in Q2 of each year.Analysis: Digital Media Streamer Forecast Update
This analysis complements the recently published major global market forecast for Digital Media Streamers.Analysis: Smartphone Fingerprint Sensor Market Share Q4 2023
The global smartphone fingerprint sensor market recorded more than 10% revenue growth in Q4 2023. Qualcomm grew its share, taking the top spot followed by Goodix and Egistec in the quarter.Global Smartphone Vendor Marketshare for 15 Countries: Q1 2024
Global smartphone shipments jumped by 10% YoY in Q1 2024, signalling that emerging countries show more clear signs of stabilization. Several Chinese brands including Tecno, Honor, Xiaomi and Huawei drove the shipments growth.VENDOR SHARE: Global Handset Market by Technology: Q1 2024
Global annual handset shipments showed positive growth, for a second consecutive quarter, in Q1 2024. Global 5G smartphone shipments showed positive growth, as did 4G LTE, while 3G and 2G shrank. Apple captured the top spot in 5G while Samsung leads in overall global handset shipments.Q1 2024: Huawei: Escalated Resilience with Record-High Smartphone ASP
Driven by the comeback in 5G segment and eased supply constraints, Huawei’s global smartphone shipments increased 111% YoY to 11.8 million units and its average selling price (ASP) reached highest-ever point in Q1 2024. As a result, Huawei’s wholesale revenue tripled year-over-year.VENDOR SHARE: Global Handset Market by Region: Q1 2024
Annual global handset shipments continued to improve in Q1 2024. Despite economic pressure on consumers, war in Europe, and other disruptions consumers opened their wallets in the first quarter of 2024 to purchase new handsets.Baidu Kunlun1-T AI Processor with Samsung I-Cube 2.5D Package Technology Advanced Packaging Analysis
The Baidu Kunlun processor is China’s first domestically produced AI accelerator IC which features thousands of cores providing up to 260 trillion operations per second (TOPS) and 512 GB/s memory bandwidth to two second generation high bandwidth memory (HBM2) totaling 16GB of in package memory.MediaTek Dimensity 9300 (N4P) Transistor Characterization
This report presents key DC electrical characteristics for logic NMOS and PMOS transistors located in the CPU1 region of the MediaTek AHJ11236C die found inside the MediaTek MT6989W Dimensity 9300 application processor.Appendices – Automotive Electronics System Demand Forecast 2022 to 2031
This market forecast highlights the impact that vehicle architecture change and electrification are having on automotive electronics system demand. Key changes in this update include a substantial upgrade to the forecast model for new vehicle architectures, with High Performance Compute Platforms now included. This update also sees a slowing in the predicted growth for battery electric vehicles.
Chiplet Market Introduction: Computing Summary
Discover insights into the transformative chiplet market within the computing sector. Gain a nuanced understanding of evolving standards, ecosystem dynamics, and projected growth trajectories.Deep Dive Teardown of the ZTE Blade A73 5G 7160N Smartphone
The ZTE Blade A73 5G features a 90Hz, 6.52-inch IPS LCD display with a resolution of 720 x 1600 pixels. The phone is powered by Unisoc’s T760 chipset with an octa-core CPU. It comes with 4GB of RAM and 128GB of internal storage, expandable via microSDXC.Sony ISP Die IR Eye Tracking Camera from Apple Vision Pro Standard Floorplan Analysis
Sony's image signal processor (ISP) die from Sony's 1.8 MP, 1.5 μm pixel pitch, stacked back-illuminated CMOS image sensor (CIS) was extracted from the Apple Vision Pro Headset (A2117) IR eye tracking camera. The Apple Vision Pro Headset (A2117) IR eye tracking camera measures 8.8 mm ×5.8 mm ×5.0 mm thick. It contains a stacked imager comprising a CIS die and an ISP die.April McClean Report 2024
The April Update provides The McClean Report’s ranking of the top-50 semiconductor suppliers of 2023 by sales revenue, by growth rate, and by headquarters location. The top-10 semiconductor suppliers of 2023 are compared with the top-10 from 10, 20, and 30 years ago.Avago FH513-4KN4 Power Amplifier Die (AFEM-8234) RFIC Process Analysis
The FH513_4KN4 RF power amplifier die was extracted from the Avago AFEM-8234 MHB L-PAMiD RFFE module. This RFIC process analysis (RFP) was performed on samples removed from the Apple iPhone 15 Pro 5G smartphone (A2848) smartphone.Sensors Market Update CY2023
This presentation provides an overview of the Sensor Market and covers the market and vendor highlights for Smartphone Image Sensors, Smartphone Fingerprint Sensors and Automotive Image Sensors in 2023.Tracker: Global 5G Smartphone Vendor Market Share by Region: Q1 2024
Apple still barely holds peak position before Samsung. Samsung grew nearly even with Apple this quarter. Emerging markets grew fastest as developed markets have saturated. Top three vendors take nearly two thirds of the shipments. Western Europe was only region to see YoY decline.IDM and Foundry: Sales, Capex, and Capacity Highlights Report
This report contains highlights from the full data file. A sampling of Integrated Device Manufacturers (IDMs) and foundries important to the automotive industry shows wafer capacity expanded by 9% on average in 2023 after large capital expenditures for fabs since 2020.Tracker: Global Wearables Revenue and ASP by Vendor 2023 Q4
Global wearable revenues fell by 4% in Q4 2023 as macroeconomic weakness and geopolitical uncertainty caused lengthening replacement cycles and shrinking volumes for both smartwatches and fitness bands.
Apple Resets AI Race with the M4-powered iPad Pro
The M4 Chip in the Apple iPad Pro has come to market in record speed. Read how Apple resets AI Race and what we you expect as TechInsights digs deeper into the technical analysis.Main Data Tables - Automotive Electronics System Demand Forecast 2022 to 2031
This market forecast highlights the impact that vehicle architecture change and electrification are having on automotive electronics system demand. Key changes in this update include a substantial upgrade to the forecast model for new vehicle architectures, with High Performance Compute Platforms now included.Apple Watch Shipments by Model : Q4 2016 to Q1 2024
Apple Watch shipment volumes shrunk by 11% YoY in Q1 2024 largely due to a continued unfavorable YoY comparison - during the 2022 holiday season the Ultra was brand new to the lineup and the SE 2nd gen was launched. In the 2023 holiday season, the SE line was not updated, and while the Ultra was updated, it was no longer a brand-new product line and also has a longer replacement cycle being a higher priced device.Global Smartphone Top 100+ Microvendors Market Share: Q1 2024
A huge number of second-tier MICROVENDORS were playing a meaningful role in the global smartphone market. However, industry consolidation is underway and major players are taking more shares from small vendors recent years.Optical Fiber Communications (OFC) 2024: The Year of the AI Data Center
TechInsights previously published a short, complementary report on the main theme of the 2024 Optical Fiber Communications conference (OFC): the “iron triangle” of data rate, delay and power+thermal demands that Artificial intelligence (AI) distributed computing places on data center networking and interconnect.Analysis: Automotive Cockpit System Processors–Segment Continues to Grow
The market for processors for automotive cockpit systems continue to grow as semiconductor companies develop products for next-generation vehicles. The next-generation electronic control units (ECUs) in automotive cockpits, for example cockpit domain controller ECUs, integrate an increasing number of infotainment and safety features.IDM and Foundry Sales, Capacity, Capex
A sampling of Integrated Device Manufacturers (IDMs) and Foundries important to the automotive industry shows wafer capacity expanded by 9% on average in 2023 after large capital expenditures for fabs since 2020. Several IDMs increased fab capacities in 2023 well above 9% include Samsung, Texas Instruments, Rohm, Infineon, and Microchip.SK hynix Hi-5021Q Process Flow Analysis
Process flow analysis of the SK hynix Hi-5021Q, a 50 MP 0.70 µm pixel pitch CMOS image sensor. This is the first 0.70 µm pixel from SK hynix analyzed by TechInsights, and it uses the first high-volume production, commercially available image sensor with innovating air gap grid.Research Bulletin – Top-50 Semiconductor Supplier Marketshare Climbs to 90% in 2023
The top‑50 semiconductor suppliers accounted for 90% of the $559.1 billion global semiconductor market last year, an increase of four points from 86% in 2022.Qualcomm QTM565 FR2 Antenna in Package (AiP) Packaging Analysis
The Qualcomm QTM565 antenna in package (AiP) is a 5th generation 5G mmWave antenna solution for mobile handsets. It features simultaneous transmit/receive front-end die and power management functions. The physical packaging of the QTM565 is similar to its predecessor the QTM545, with a central printed wiring board (PWB) hosting the dies and the antenna elements comprising separate laminate blocks.
Electronics Forecast 2024
Explore the 2024 electronics sales forecast, highlighting surges in IT infrastructure, server sales, and storage solutions. Gain insights into projected sectoral growth and market dynamics shaping the industry landscape for the year ahead.Q1 2024: Apple: Underperformed With Sluggish Demand In Developed Markets
Apple iPhone shipments declined 11% YoY to reach 49.2 million units and 17% market share slipping to second spot in global smartphone market in Q1 2024. One key reason for decline is slowdown in China given Huawei’s growth in the country as well as the restriction from Chinese government limiting use of iPhones by government officials. Moreover, not just China, iPhone shipments declined in most of the regions amid lengthening replacement cycle.India Smartphone Vendor and OS Market Share: Q1 2024
India smartphone market grew by 10% annually but declined by -11% sequentially in Q1 2024. A double-digit annual growth in the first quarter of 2024 itself augurs well for the whole year. Xiaomi, Vivo and Samsung were the top three smartphone vendors in the quarter.Qualcomm SDR875-004 Converged RF Transceiver LNA Block RFIC Process Analysis
The HG11-13972-2 RF transceiver die from the Qualcomm SDR875-004 RF transceiver was removed from the Samsung S24 Ultra 5G smartphone (SM-S928W).North America Smartphone Vendor & OS Market Share by Country: Q1 2024
Growth in the North American smartphone market declined in Q1 2024, the seventh quarter in a row of negative annualized growth for the region. Six of the Top-10 brands recorded negative annual shipment growth. Apple was the Number 1 smartphone vendor in the quarter by units shipped and Samsung led in Android.Broadcom BCM88920 StrataDNX™ Ramon3 51.2Tb/s Fabric Element BGA Exploratory Packaging Report
The Broadcom BCM88920 StrataDNX™ Ramon3 is telecommunications industry switch gear packaged in a flip-chip ball grid array (FC-BGA) style package. It has a large footprint with over 9,000 package connections and a very low die-to-package ratio. This report presents detailed delayering of the organic substrate supporting the chip, as well as die photographs and analysis.Deep Dive Teardown of the Huawei Freeclip T0017C Wireless Earbuds
Several manufacturers share the design wins for the Huawei FreeClip wireless earbuds. The Bluetooth 5.3 SoC is supplied by WuQi. Maxscend provided BLE Voice Remote Control. ConvinientPower supplied the High Efficiency Wireless Power Receiver. SOUTHCHIP provided charging chips.Sony 1.8MP 1.5μm Pixel Eye Tracking Camera from Apple Vision Pro Device Essentials
The Sony IR Eye Tracking Camera CMOS image sensor (CIS) was extracted from the Apple Vision Pro Headset (A2117). The Sony CIS is a 1.8 MP, monochrome, 1.5 μm, stacked back-illuminated (BI) electronic rolling shutter utilizing Exmor RS technology.Samsung GM5 ISOCELL 2.0 Standard Floorplan Analysis
The ISP die from the Samsung S5KGM5SX, a rear periscope-telephoto camera module that was extracted from the Google Pixel 7 Pro smartphone. The camera module measures 29.6 mm × 14.4 mm × 6.8 mm thick. It contains a stacked imager comprising a CIS die and an ISP die.Survey Plus Teardown of the Xiaomi Redmi A3 23129RN51H Smartphone
The Xiaomi Redmi A3 has a 90Hz, 6.71-inch IPS LCD display with a resolution of 720 x 1650. The Redmi A3 has three cameras. The main one is an 8 MP Wide-Angle Rear Camera with an image sensor made by SmartSens, the second one is 0.08 MP QVGA Rear Camera with an image sensor made by SmartSens, and the last one is a 5 MP Wide-Angle Front Camera with an image sensor made by SmartSens. The device supports 10 W wired charging.OECD Mobile Voice and Data Price Benchmarking
The Q1 2024 update of the OECD Mobile Voice and Data Price Benchmarking service is now available for download and includes over 2,100 mobile voice and data tariff plans from 76 providers across 38 OECD countries.OmniVision 0V50H 50MP 1.2 μm Pixel PureCel Plus-S CMOS Image Sensor Device Essentials Folder
OmniVision 0V50H 50MP 1.2 μm Pixel PureCel Plus-S CMOS Image Sensor Device Essentials Folder Share This Post The OmniVision OV50H CMOS image sensor (CIS) was extracted from the Xiaomi 14 Pro rear camera, which offers high-quality imaging and superiorCXMT CXDB6CCDM-MA G3 8Gb LPDDR4X Memory Floorplan Analysis
The CXMT CXDB6CCDM-MA die was found inside CXMT CXDB6CCDM-MA LPDDR4X DRAM package.Automotive Sensor Demand Forecast 2022 to 2031 - April 2024
This market forecast for automotive sensors in light-duty vehicles shows that the packaged sensor market is now expected to grow at a CAAGR of 3.8% over 2023 to 2028.Forecast: Global Smartphone Sales by Biometric Authentication Enablers up to 2029
Biometric Authentication are systems that rely on the unique biological characteristics of individuals to verify identity for secure access.Report Overview: 2024 Lidar Market Update
As we navigate through the dynamic landscape of the lidar market, characterized by technological innovation, regulatory challenges, and shifting industry dynamics, it becomes critical for stakeholders to remain agile and adaptive.Automotive Semiconductor Market Shares 2023
Automotive semiconductors grew to 12% of total semiconductor sales in 2023, up from 10% in 2022.Q1 2024: Samsung: Record-High Smartphone ASP
Samsung shipped 60.0 million smartphones globally in Q1 2024, down 2% YoY, blaming the intensified competition in emerging markets such as Asia Pacific and Central & Latin America.ODM Sales Soar as Hyperscalers and Cloud Providers Go Direct
TechInsights' 2023 server market analysis shows that the world spent $120 billion on over 13.5M servers in 2023.Survey Plus Teardown of the Motorola Moto G Play Gen 3 XT2413-2 Smartphone
The Motorola Moto G Play XT2413-2 3rd Gen is the latest model in the Moto G Play series, succeeding the 2nd Gen Moto G Play. This smartphone boasts a Qualcomm Octa-Core Snapdragon 680 Applications/Baseband Processor built with 5 nm technology, as well as 4 GB Mobile LPDDR4X SDRAM from Samsung and Samsung’s 3D TLC V-NAND Flash Memory with a capacity of 64 GB. The previous model, on the other hand, was equipped with MediaTek’s Helio G37 with 12 nm technology.NAB 2024: Key Takeaways for Connected TV
This report summarizes major ASTC 3.0 related announcements at the 2024 National Association of Broadcasters Show (NAB). Companies mentioned include LG, Samsung, Sony, TCL, Hisense, Mediatek, iWedia, Realtek, ADTH, Stavix, Velo, Zapperbox, and ZinwellMarket Shares: Compute Servers Q4 2024
This workbook breaks down the revenue from the sales of servers in 2023 by vendor. In 2023, 13.6M servers were shipped globally, with a combined value of $121B. With cloud providers selling access to infrastructure, and hyperscalers providing services from vast server estates, its perhaps not surprising that the role of original design manufacturers (ODMs)—which ship custom servers to large entities—is becoming more relevant.Smartphones: Global Artificial Intelligence and Sensor Technologies Forecast to 2029
Artificial Intelligence (AI) is an integral part of a modern smartphone. Edge AI computing is pushing more processing on-device as vendors use more AI in several areas. Virtual assistants such as Siri, Bixby and Google Assistant are already becoming commonplace and on-device AI is increasing their abilities. Generative AI has emerged to turbocharge AI capabilities.Analysis: As TV Manufacturers’ Revenue Models Evolve, Samsung, LG, and Vizio Shift Their Focus to Advertising
The television manufacturing business is changing. Long a high volume (units sold), high gross revenue, low margin business, the development of connected TVs and free ad-supported TV (FAST) services, is fundamentally changing the TV manufacturer business model, at least for those manufacturers that have an in-house (i.e., first-party) TV operating system (TV OS).Analysis: Global Foldable Smartphone Shipments Forecast and Revenues
Samsung was the leader in foldable-display smartphone shipments in Q4 2023, followed by Huawei and Motorola. Shipments grew YoY, but major markets in North America and Western Europe declined heavily because of ongoing geopolitical and economic turbulence and Samsung's softness.Huawei Pura 70 Series Image Sensors
There are expectations that Huawei will move away from SONY to use made-in-China image sensors in the Pura 70 series. This report provides insight into the image sensors used in the Huawei Pura 70 series.Deep Dive Teardown of the Magna Electronics ADAS GP009379212 Automotive
Launched in 2023 by the USA vehicle company Fisker, the mid-size electric crossover SUV. The Fisker Ocean is equipped with an array of advanced driver assistance systems (ADAS). Utilizing computer vision, radar, and diverse sensors, these ADAS features enhance safety and comfort for the driver.Deep Dive Teardown of the Apollo Zhilian Cockpit Domain Controller CDC? Automotive
Several manufacturers share the design wins for Apollo Zhilian for Jidu Robo-01 Cockpit Domain Controller. The main processor came from Qualcomm® Snapdragon Automotive generation. The Qualcomm SA8295P is a high-end processor, made with 5 nm FinFET process, featuring an octa-core CPU. Renesas supplied the 32-Bit Microcontroller from RH850 family created among others for automotive electronics.Survey Plus Teardown of the Honor Magic 6 BVL-AN00 Smartphone
The Honor Magic 6 comes with a 6.78-inch OLED touchscreen display offering a resolution of 2800 × 1264. The display has a frequency of 120 Hz. The phone is powered by a Qualcomm SM8650-002-AB Applications/Baseband Processor.Survey Plus Teardown of the Vivo S18 5G V2323A Smartphone
The Vivo S18 is equipped with the Qualcomm Snapdragon 7 Gen3 processor. This phone is powered by a 5000 mAh battery with support for 80 W fast charging. The SIM card tray can accommodate two nano-SIM cards.Deep Dive Teardown of the ecobee Smart Thermostat ECB601 Home Thermostat
The ecobee Smart Thermostat ECB601 is designed to control home heating and cooling systems directly from the phone app or voice commands with Siri or Alexa to create heating and cooling schedules as well as view energy usage reports.Analysis: Global 5G and LTE Handset Revenue and ASP by Vendor – Q4 2023
Global 5G handset industry revenues and shipments grew double digits in Q4 2023. Apple remains the leader of 5G shipments and revenues, but Samsung is growing behind Apple. Samsung is the world’s second-largest 5G vendor in terms of shipments and revenues.Autonomous Vehicle Carmaker Announcements
This database covers all the major carmaker announcements in regards to autonomous vehicles, for both semi- and fully autonomous technologies. Comments are embedded in the "Release Date" column to give further details, e.g., "End of 2024". In this update, TechInsights has included announcements and releases of different ADAS and automated driving platforms by SAE Levels of automation from automakers and Tier 1 developers worldwide.
Apple Vision Pro Teardown
Discover the inner workings of the Apple Vision Pro A2117 with our in-depth teardown analysis. Explore its M2 processor, Micro-OLED display, sensor suite, connectivity options, and spatial audio technology.Deep Dive Teardown of the Caterpillar S75 BM1S1B Smartphone
The Main Cover is a Co-Molded Reinforced Plastic Frame. It consists of a Glass-Filled Plastic Inner Frame and Soft Plastic Outer Frame. Waterproof elements available in the construction of devices for: Sealed Buttons Port with rubber membrane, Sealing Tape around the Main Enclosures, Silicone Gasket on Sim Tray Cover, and Sealing Tape around Cameras Windows and Camera Window's Positioning Handle.Deep Dive Teardown of the ecobee Smart Doorbell EB-CAMSDB-01 Doorbell Camera
The Ecobee Smart Doorbell Camera is a smart home security system unit equipped with a 175-degree vertical view camera. It can stream a live view to Ecobee Smart Thermostat through low energy communication system of BT 5.0 and 2.4/5 GHz WiFi.Forecast: Global 5G Smartphone Wholesale ASP & Revenue by Technology to 2029
Global 5G smartphone wholesale revenues will surge between 2019 and 2029. 5G is by far the fastest-growing sector of the smartphone industry for the next decade. The majority of mmWave sales volumes will come from the North American market. Sub-6GHz will remain the main 5G technology for the foreseeable future.SK hynix Hi-5021Q 50MP 0.70μm Pixel CMOS Image Sensor Device Essentials Plus
The Hi-5021Q is a 50 MP, 0.70 µm stacked back illuminated CMOS image sensor. It is the first SK hynix image sensor analyzed by TechInsights to use hybrid bond to connect the CIS and ISP dies. This is also the first commercially available image sensor to use an air gap grid.Deep Dive Teardown of the Xiaomi Redimi K70 Pro 23117RK66C Smartphone
Both smartphones also have the same battery charging design with the only exception that Xiaomi 14 Pro has Wireless charging capabilities. It is also similar to the Xiaomi Redmi Note 13 Pro’s design with a difference in which main SoC (SC8551A) was used.Deep Dive Teardown of the Fitbit Charge 6 G3MP5 Activity Tracker
The Fitbit Charge 6 G3MP5 is the latest activity tracker from Fitbit Inc. and an improved successor to the Fitbit Charge 5 FB421. The Charge 6 has a 1.04” OLED Display. In both devices, the Display/Touchscreen Subsystem is made by Truly Opto-electronics and has the same part number. The Display Panel and touchscreen Module are separated in this subsystem.
Exploring Tesla's Full Self-Driving
Embark on a journey with TechInsights as we explore the world of Tesla's advanced Full Self-Driving (FSD) driving capabilities.
Unlocking Insights: SoC Design Analysis Q1 2024 Briefing
This briefing features key innovations in the latest new technologies: TSMC 3 nm and Intel 4 nm, and the disruptive SMIC 7 nm N+2, through the lens of digital gates and standard cell libraries. Discover our latest benchmarking on scaling and transistor density metrics of leading flagship SoCs like the Apple A17, HiSilicon Kirin 9010, and MediaTek Dimensity 9200.Samsung K9DYGY8J5B-CCK0 236L 1Tb 3D NAND Internal Waveform Analysis
The Samsung 1 Tb 236L triple-level-cell (TLC) 3D NAND flash memory device (die markings: K9AKGD8J0B) is one of 16 dies packaged inside one Samsung K9DYGY8J5B-CCK0 NAND flash memory package, which was found in the MZ-V9P4T0 990 Pro PCIe 4.0 NVMe M.2 4 TB solid state drive (SSD).
HiSilicon Kirin 9010 Powers the Huawei Pura 70 Ultra
HiSilicon Kirin 9010 Powers the Huawei Pura 70 Ultra Discover the groundbreaking technology behind Huawei's Pura 70 Ultra smartphone, powered by the innovative HiSilicon Kirin 9010 processor. Delve into our in-depth analysis by the TechInsights teamSony LYTIA LYT900 50MP 1.6μm Pixel ISP Die Standard Floorplan Analysis
The Sony LYTIA LYT900 is a rear wide-angle camera module that was extracted from the Oppo Find X7 Ultra (PHY110) smartphone. The camera module measures 27.96 mm × 31.16 mm × 10.68 mm thick. It contains a stacked imager comprising a CIS die and an ISP die. This floorplan analysis (FAR) examines the ISP die.Value Share: Global Smartphone Vendor ASP and Revenue Share by region: Q4 2023
Global smartphone industry revenues grew by 8% in Q4 2023. Apple, Samsung and Xiaomi were the top three vendors and together accounted for 3/4th of the global revenues. Apple was the leading vendor by revenues in all of the six regions tracked.Forecast: Global 5G Smartphones by Technology up to 2029
We expect mmWave technology to reach 11% penetration of all 5G smartphones in 2023. The majority of sales volumes come from the North American market.Deep Dive Teardown of the Huawei Eyewear 2 LFT-G00 Smart Glasses
The Huawei Eyewear 2 LFT-G00 are smart glasses that include two speakers in the temples to create a comfortable open-ear audio listening experience without the need for earpieces. It features the WUQI microelectronics WQ7036AX Bluetooth 5.3/Audio SoC with memory.Efficient Power Conversion EPC2619 100V 3.3mOhm Gen 6 GaN Transistor Power Essentials
The Efficient Power Conversion EPC2619 device includes a single gallium nitride (GaN) power high electron mobility transistor (HEMTs) die with a continuous rating voltage of 100 V, the power GaN transistors operate in enhancement mode (normally-off) and exhibit a typical 3.3 mΩ on-resistance (RDS(ON)) at 5 V VGS bias.Apple Watch Series 9 (S9 SiP) Digital Floorplan Analysis
The Apple TMQW67 die, examined in this digital floorplan analysis (DFR), was found inside the Apple S9 module. The Apple S9 system-in-package (SiP) module was extracted from the Apple Watch Series 9 GPS+Cellular (A2984).Micron D1β LPDDR5X DRAM Process Full Flow Analysis
A Synopsys 3D-emulation analysis of the process flow and integration used in the manufacture of the Micron Technology MT62F1G64D4AM-031_XT_C (Y52P die), built in Micron’s D1b nm technology. The emulation includes SPX input files that can be used as input into other Synopsys models.STMicroelectronics Ouster OS1 LiDAR SPAD Array Sensor – L3 Chip Process Flow Analysis
The STMicroelectronics Ouster L3 Chip with 10 µm pixel pitch is the third-generation LIDAR chip. It is a stacked back-illuminated direct Time-of-Flight (d-ToF) SPAD sensor, which competes directly with the SONY IMX459. The L3 SPAD chip has many innovations, including hybrid bonding, in die quench resistor, dual DTI pixel isolation, finger capacitor, and diffraction grating.OmniVision OV50K 50MP 1.2μm Pixel PureCel Plus-S CMOS Image Sensor Device Essentials Folder
The OmniVision OV50K CMOS image sensor (CIS) was extracted from the Honor Magic 6 Pro smartphone (BVL-AN16). The OmniVision OV50K is a Quad Bayer color, 50 MP, stacked back-illuminated (BI) electronic rolling shutter CIS utilizing the PureCel Plus-S technology for mobile cameras. This device essentials (DEF) report examines both the CIS and image signal processor (ISP) die.Memory Consumption Report Q1 2024
This quarterly report provides a comprehensive analysis of the where DRAM and NAND are consumed. This unique perspective considers where the electronics devices that use memory (for example smartphones, servers, and PCs) are ultimately used.Global Smartphone Revenues and ASP Forecasts by 88 Countries: 2012 to 2029
TechInsights predicts global smartphone wholesale revenues will grow 3% YoY in 2024, largely driven by the rebounded sales volumes while ASP would remain relatively soft. Smartphone revenue will keep growing annually from 2025 onwards throughout the forecast window, thanks to 5G migration and new tech and form factor innovations.Lenovo ThinkPad X1 Carbon Gen 11 (14” Intel) Laptop Battery Characterization Supplementary Report
The Lenovo ThinkPad X1 Carbon Gen 11 (14'') is an important market-leading laptop computer powered by four ATL battery cells connected in series. The battery pack is configured by Celxpert Energy Co. This device was analyzed due to its high interest in laptop swelling control, and its comparison with other market-leading laptops provides deep insight into the different methods used by product OEMs to extend the life of battery laptops.Vendors Shares and Features - Q2 2024
TechInsights’ Automotive Infotainment & Telematics System and Semiconductor Market Report provides a forecast outlook for the OEM and Aftermarket with detailed feature forecasts as well as vendor market shares for the main suppliers of systems and application specific semiconductors.Architecture and Circuit Changes in the Qualcomm SDR875 Converged RF Transceiver
The SDR875 converged FR-2-IF/FR1 transceiver in the Samsung Galaxy S24 Ultra handset replaced two SDR735 FR1 and one SMR546 FR2-IF transceivers in the Galaxy S23 Ultra. This blog draws attention to some of the architectural and circuit changes involved. Most attention is given to the receive paths which were affected the most.Cloud Accelerators Are Abundant; Just One GPU is in Scarce Supply
Every hour for a week, TechInsights probed Amazon Web Services to see what accelerators were available in what regions. In general, accelerator-based instances from AWS are widely accessible from a wide range of regions. However, end-users seeking access to newer NVIDIA H100 instances, ideal for Large Language Models, are likely to face frustration due to ongoing supply chain issues.Autonomous Vehicle Start-ups & Small Companies
This database covers the key start-ups and small companies that collectively are playing an important role in the development of autonomous vehicle technology. In the spokesperson section the name is highlighted with a hyperlink in order to be redirected to the person's LinkedIn or personal profile.Forecast: Global Smartphone Sales by Technology for 88 Countries to 2029
Global smartphone sales declined in 2023. Growth going forward is slow as geopolitical and economic turbulence keeps growth moderate. Asia Pacific will remain by far the world's largest region for 5G smartphone sales.Forecast: Global 5G Smartphone Installed Base for 88 Countries to 2029
TechInsights estimates that global demand for 5G smartphones is growing and installed base with it. Asia Pacific was the largest region with nearly two thirds share of the installed base in 2023.Deep Dive Teardown of the Apple Vision Pro A2117 AR Headset
Global fitness band industry revenues plummeted by 44% in Q4 2023. This marks the fifteenth consecutive quarter of year-over-year (YoY) fitness band revenue declines amid macroeconomic weakness and geopolitical uncertainty.
Global Smartphone Wholesale Revenue: 5-Year Growth Projection
In 2023, global smartphone sell-through wholesale ASP hit a historic high, signaling robust revenue growth potential until 2029, as outlined in TechInsights' "Smartphone Revenues and ASP Forecasts by 88 Countries" report.
Huawei Pura 70 Ultra Teardown
Uncover the secrets of the Huawei Pura Ultra 70 with our in-depth teardown analysis. From the Kirin 9010 chipset to the innovative camera system, learn what sets this device apart.VALUE SHARE: Global Fitness Band Revenue and ASP by Vendor by Price Tier: Q4 2023
Global fitness band industry revenues plummeted by 44% in Q4 2023. This marks the fifteenth consecutive quarter of year-over-year (YoY) fitness band revenue declines amid macroeconomic weakness and geopolitical uncertainty. Prices have reversed course back to ASP declines due to commoditization at the low-end, and shrinking volumes at the high-end, after briefly ticking upward slightly in 2022 due to unrelenting inflation.VALUE SHARE: Global Smartwatch Revenue and ASP by OS by Price Tier: Q4 2023
Global smartwatch revenues fell by 3% year-over-year (YoY) during Q4 2023. The decline was driven by slumping shipment volumes and slightly lower ASPs due to macroeconomic weakness and geopolitical uncertainty limiting consumer demand and discretionary spending and causing a lengthening replacement cycle.System Shipments, Revenues & Feature Forecast - Q2 2024
TechInsights’ Automotive Infotainment & Telematics Q2 2024 System Market Report provides a forecast outlook for the OEM and Aftermarket hardware and feature market from 2021 through 2031. 2022 represented a year of reasonable growth which saw the OEM market opportunity for Infotainment & Telematics system vendors rising by 5.4% YoY in revenue terms.Semiconductor Units, Revenues & ASP Forecast - Q2 2024
TechInsights’ Automotive Infotainment & Telematics Q2 2024 Semiconductor Market Report provides a forecast outlook for OEM and aftermarket hardware and applications market from 2021 through 2031. The OEM market opportunity for Infotainment & Telematics chipset vendors increased by 13.5% YoY in revenue terms in 2023 on the back of strong vehicle production growth of over 10% YoY.Blink Mini 2: Amazon’s Blink Flexes its Semiconductor Strengths to Create the Blink Mini 2 Surveillance Camera
5G is the biggest technology followed by 4G (LTE). LTE (4G) sales continue to decline as a result of growing interest in 5G devices. LTE still grows in Middle East and Africa region. 3G is in sunset in most of the regions except Middle East and Africa that still has strong 2G/2.5G sales also.Forecast: Global Handset Sales by 88 Countries and 19 Technologies up to 2029
5G is the biggest technology followed by 4G (LTE). LTE (4G) sales continue to decline as a result of growing interest in 5G devices. LTE still grows in Middle East and Africa region. 3G is in sunset in most of the regions except Middle East and Africa that still has strong 2G/2.5G sales also.
Samsung to be the Pro AI Generative Smartphone Leader in 2024
Samsung to be the Pro AI Generative Smartphone Leader in 2024 Samsung leads the charge in generative AI smartphones with the S24 series, revolutionizing user experiences. With Apple's entry on the horizon, expect a dynamic shift in smartphoneDeep Dive Teardown of the Vivo IQOO 12 I2220 Smartphone
The Vivo IQOO 12 I2220 is the first smartphone in India to have the Snapdragon 8 Gen 3 chipset. It’s also the first device to run on a dual chip design, as the main unit is paired with a customized Supercomputing Chip Q1. This new chip is designed to boost gaming experience and allows for 144 FPS gaming. The BGA package is rather small measuring 4.3 x 3.9 mm with a die size of 3.95 x 3.6 mm manufactured by Novatek.Beken BK3296 22nm Bluetooth Audio SoC Process Flow Analysis
This report provides an analysis of the process flow and integration used in the manufacture of the Beken BK3296 Bluetooth Audio SoC, built using UMC's 22 nm ULP technology. UMC’s 22 nm ULP process derives from the company’s earlier 28 nm planar CMOS technology and offers up to a 10% relative area gain and better power/performance ratio with enhanced RF capability. The spreadsheet lists the hundreds of process flow steps likely used in its manufacture.Analysis: EV, Shared-Mobility Support Critical for Thriving Cities
Upgrading transportation systems in cities like Los Angeles, especially shared mobility at the curb, is critical to their success. For Los Angeles, this undertaking is especially urgent as the city prepares to host three major events: the World Cup in 2026, the Superbowl in 2027, and the Summer Olympic Games in 2028.VALUE SHARE: Global Smartwatch Revenue and ASP by Vendor by Price Tier: Q4 2023
Global smartwatch revenues shrunk by 3% in Q4 2023 due to declining shipment volumes as well as slightly lower ASPs caused by macroeconomic weakness and geopolitical uncertainty limiting consumer demand and discretionary spending.onsemi AR0341 3MP 2.1µm Pixel HDR and LFM CMOS Image Sensor Device Essentials Folder
The onsemi AR0341AT CMOS image sensor (CIS) was extracted from the onsemi ONS-AR0341ATSC15XUEA0-DPBR-E part. The onsemi AR034AT is a Bayer color, 2.1 μm, stacked back-illuminated (BI) electronic rolling shutter Hyperlux 2nd Generation Super-Exposure Pixel Technology CIS for automotive applications.
Notebook PC Market Surges: 7% Growth Signals Strong Start to 2024
The first quarter of 2024 witnessed a resilient performance in the notebook PC market, with shipments growing compared to the same period last year.
Touching New Heights: The Booming Smartphone Fingerprint Sensor Market of 2023
In 2023, the Smartphone Fingerprint Sensor market saw a notable 4% annual unit growth, driven by a surge in adoption of Fingerprint-On-Display (FoD) and Capacitive sensor products.
A Tale of Three Phone Chips: Eco Edition
TechInsights discovered a 20% gap in carbon emissions from manufacturing the semiconductors of three flagship phones, despite smartphones being typically evaluated based on screen size, processor speed, and camera resolution.
Huawei Pura 70 Series: Redefining 5G Connectivity and Premium Innovation
Featuring four distinct models and boasting cutting-edge technology like Kirin 5G chipsets and innovative camera systems, these smartphones are poised to make a significant impact.Global Digital Media Streamer Forecast 2018-2029
The global market for digital media streamers attained maturity in 2021 and declined by 1.5% year-on-year for second consecutive year in 2023, with global shipments at 95.9 million units. The category is in its declining phase and CAGR is anticipated to fall 2.6% between 2024 and 2029.Automotive Semiconductor Market
Automotive semiconductors grew to 12% of total semiconductor sales in 2023, up from 10% in 2022. Infineon and NXP continue to lead the automotive semiconductor supplier sales with STMicroelectronics closing in. Infineon gained over a full percentage point of market share compared to 2022, reaching 13.7% of the automotive semiconductor market in this year. NXP fell by almost a percent to a 10.8% share.Huawei Pura70 – Key Event Brief
The release of the Huawei Pura 70 Ultra has sparked a number of questions about the rebranded Huawei P-series with TechInsights analysts investigating its properties and capabilities.Huawei Pura 70 Series: A New Hit for 5G and Premium Tier
Following the huge success of the Mate 60 Pro, Huawei launched another flagship smartphone—the Pura 70 series on April 18, 2024 in China. The Pura 70 series includes four models—Pura 70, Pura 70 Pro, Pura 70 Pro+ and Pura 70 Ultra. The Pura 70 Pro and Pura 70 Ultra hit the shelves the same day, and the Pura 70 and Pura 70 Pro+ will become available on April 21 in China.AMD Launches Gen-2 Versal SoCs Targeting ADAS
AMD launched the second generation of its Versal SoCs, emphasizing heterogeneous solutions encompassed in a monolithic form factor. Designed to leverage flexibility offered by programmable logic, the new generation of devices will incorporate pre-processing, artificial intelligence (AI) inference and post-processing in single chip solutions.Optical Fiber Communication Conference (OFC) 2024: Key Takeaways
This complementary Insight summarizes the main themes and highlights of the 2024 Optical Fiber Communications Conference (OFC). In recent years, OFC featured a balance of telecom and data center talks and products. This year, telecom took a secondary role. Instead, Artificial Intelligence (AI) sucked all the oxygen out of the room.Forecasts: Smart Speakers and Smart Displays
Smart speakers and smart displays provide smart homes with a convenient and intelligent interface through which to control and manage their smart home needs, spanning entertainment, information, and automation.Global Fitness Band Sales Forecast for 88 Countries: 2012 to 2029
We expect global fitness band sales to decrease by 8.1% to 16.4 million units in 2024 and further by 3.7% to 15.8 million units in 2025. Following this period of substantial decline is relative stabilization from 2027 (0.6% decline) through 2029 (0.7% decline) globally.
Huawei Pura 70
Discover the inner workings of the Huawei Pura 70 with TechInsights' comprehensive teardown analysis. From processor comparisons to satellite implementation strategies, take a deep dive into the latest tech revelations.Deep Dive Teardown of the Eero Max 7 V010001 Router
The Eero Max 7 is based on Qualcomm’s WiFi SoC, supporting WiFi 7. The device was equipped with eight antennas, it features both 5 and 6 GHz WiFi 7 with 4x4 MIMO connectivity. Two antennas support 2.4 GHz WiFi 6 and another one for Zigbee, Thread, and Bluetooth LE 5.0.Deep Dive Teardown of the Samsung Galaxy SM-S921U1 Smartphone
Qualcomm has the most design wins for the Samsung Galaxy S24 SM-S921U1, supplying 20 of the 43 major designs. The Samsung Galaxy S24 SM-S921U1 comes equipped with advanced connectivity features including WiFi 7, Bluetooth 5.3, GPS support for L1 and L5, 5G mmWave technology, NFC, and wireless charging capability.
FREE MPR Report: Apple, ADAS, And Spatial Computing
Discover how Apple's Vision Pro AR headset leverages advanced sensor processing, drawing inspiration from autonomous driving technologies like ADAS.OECD Fixed Voice and Leased Line Price Benchmarking Q1 2024
The Q1 2024 OECD Fixed Voice and Leased Line Price Benchmarking Service update is now available for download. The services incorporate the OECD 2017 baskets, the latest baskets available for fixed voice and leased lines.Analysis: Tablet Forecast Update Q4 2023 – Tablet Growth to Return in Post-COVID Refresh Cycle
The last half of 2023 showed tablet demand begin to stabilize as inflation cooled, the economy improved in many markets, and tablets purchased during the pandemic began hitting the mid-point of their lifecycles.Global Wearables Shipment Forecast by Type by Vendor by Quarter: 2017 to 2024
Global wearable shipments are forecasted to grow by 4% in 2024, after declining by just 1% in 2023 and before that declining by 6% in 2022. Apple, Huawei, and Samsung will remain the market leaders in the global total wearables market.Samsung S5540 FR1 RF Transceiver Floorplan Analysis
The Samsung S5M5540 die analyzed in this basic floorplan report (BFR) was found inside the Samsung S5540 component. The S5540 component, an RF transceiver, was extracted from the Samsung Galaxy S24+ smartphone.Samsung S5820 Envelope Tracker Floorplan Analysis
The Samsung S5M5820A01 die analyzed in this basic floorplan analysis (BFR) report was found inside the Samsung S5820 component. The S5820 component was extracted from the Samsung Galaxy S24+ smartphone.
Can OliverIQ Transform the Smart Home market?
OliverIQ was unveiled at the Consumer Electronics Show (CES) 2024 as a Smart Home-as-a-Service (ShaaS) for a variety of service providers, including ISPs, home center retailers, and home builders to offer to their customers.Micron Technology MT29F2T08ELLLHL4-QA_L 232L 512Gb TLC 3D NAND Flash Memory Floorplan Analysis
The Micron Technology B57T die is found inside Micron Technology MT29F2T08ELLLHL4-QA_L package. There is one Micron Technology MT29F2T08ELLLHL4-QA_L package in the Micron Technology MTFDKBA256TGE-1BL1AABYY 256 GB 22 × 80 mm M.2 form factor solid-state drive (SSD).Automotive Semiconductor Vendor Share 2023 – STMicroelectronics closes in on NXP and Infineon
Infineon and NXP continued to lead the automotive semiconductor supplier rankings in 2023, based on TechInsights annual assessment of automotive semiconductor vendor share, which presents analysis of 2023 automotive sector revenues and market shares of the leading semiconductor vendors, with revenue splits by major component product category and by region.Charging Infrastructure Database
This database provides a panoramic view of key players in the charging infrastructure business worldwide, including charging point manufacturers, charging point operators, and service providers.Has Qorvo Given up on GaN?
Qorvo, which produces gallium nitride (GaN) based radio products for millimeter wave applications, announced it would acquire CMOS millimeter wave radio chip vendor Anokiwave. This raises the question: Does Qorvo plan to abandon GaN in favor of CMOS?Hybrid Si IGBT, SiC MOSFET Power Modules Add Efficiency to Motor Inverters Without the Cost
In March 2023, Tesla CEO Elon Musk said that the electric vehicle maker will use 75% less silicon carbide (SiC) without compromising the performance or efficiency of its models. TechInsights’ Stephen Russell gave predictions on how chip designs could enable this. But at the 2024 Automotive Tech Forum, vendors such as Infineon and STMicroelectronics, presented the answer: The hybrid power electronics module that uses both silicon and SiC devices.3D NAND – Speed boost between NAND to SSD Controller
As the memory capacity of NAND packages increases with increased stacking of dies, issues such as large capacitive loading, input/output (I/O) speed reduction, and increased power consumption limit the packages from achieving the desired I/O speed, even when paired with a suitable SSD controller. This featured content report explores some recent NAND to SSD controller techniques.
Cockpit Innovations: Streaming, Gaming, Audio and Apps
TechInsights' report on cockpit innovations examines developments of in-car infotainment in audio streaming, video, and gaming, examining announced OEM partnerships, data and content service providers, and trends in displays.
Will there be an Apple M3 Ultra?
Dive deep into the absence of high-density interconnect pads in the M3 Max and its implications for the highly anticipated Apple M3 Ultra.Research Bulletin – TSMC Climbs to #1, NVIDIA Posts Triple-Digit Growth in Final 2023 Ranking of Top 25 Semi Suppliers
Final calendar year 2023 ranking of the top 25 semiconductor suppliers are presented. No new suppliers broke into the top 25 list in 2023, but there were significant changes in where companies were ranked.Apple A17 Pro SoC GPU Design Analysis
This report provides an analysis of the standard cells comprising about 70% of the area analyzed in the logic block of the Apple A17 Pro 3 nm GPU, fabricated using FINFLEX methodology by TSMC. Standard cells schematics are extracted to determine routing efficiency, gate density and global metal usage survey.Intel Must Undercut Its Competitors to Win AI Share
At Intel Vision, the company launched a new version of its AI ASIC Gaudi, and a new version of Xeon. The company is in the midst of a strategic shift, moving from a shipper of components to a holistic silicon, software, and engineering firm with generative AI at its very heart. But this isn’t a quick shift and the company appreciates it’ll take time to rekindle its spark. To take on NVIDIA, it has to slash prices to gain traction.
Revving Up: The Latest Breakthroughs in AI for Automotive Innovation
As automotive technology continues to evolve, so does the role of artificial intelligence (AI) within the industry.NAND Market Report Q1 2024
This analysis covers supplier production trends, market shares, shipment volumes, revenue, and pricing forecasts. It examines the technological and manufacturing innovations influencing the industry and identifies the key developments driving market demand.Global Foldable Smartphone Shipments Forecast by Vendor by Region by Quarter to 2025
Samsung's share is eroding but it will keep peak position until 2025 when Huawei surpasses it. We forecast Samsung will retain second place globally still in 2025 followed by Honor taking third position. The foldable market is growing but Apple's absence is still keeping the market growing slowly. We expect Apple to join in 2026 or 2027.VALUE SHARE: Global Foldable Smartphone Revenue and ASP by Vendor : Q4 2023
Global 5G handset industry revenues and shipments grew double digits in Q4 2023. Apple remains the leader of 5G shipments and revenues, but Samsung is growing behind Apple. Samsung is the world’s second-largest 5G vendor in terms of shipments and revenues. Samsung is the biggest vendor in LTE shipments and revenues.Global Top-500 Smartphone Shipments, ASP, and Price Band by Model: Q4 2023
This highly detailed report shows the top-500 best-selling smartphone models globally in terms of their shipments, wholesale ASP, and price band in the fourth quarter of 2023. The models included in the report cover 89% of the total smartphone shipments in Q4 2023, including all major vendors as well as many locally significant microvendors.Global Top-500 Smartphone Shipments, ASP, and Price Band by Model with Specs: Q4 2023
This highly detailed report shows the top-500 best-selling smartphone models globally in terms of their shipments, wholesale ASP, and price band in the fourth quarter of 2023. The models included in the report cover 89% of the total smartphone shipments in Q4 2023, including all major vendors as well as many locally significant microvendors.Global Top-500 Smartphone Revenue, Value Share, ASP, and Price Band by Model: Q4 2023
This highly detailed report shows the top-500 best-selling smartphone models globally in terms of their revenue, value share, wholesale ASP, and price band in the fourth quarter of 2023. The models included in the report cover 97% of the total smartphone revenue in Q4 2023, including all major vendors as well as many locally significant microvendors.Global Cellular Smartwatch Vendor Share Q1 2017 to Q4 2023
Global cellular smartwatch shipments fell for this first time ever by 6% YoY in the fourth quarter of 2023. Cellular penetration also fell slightly during the quarter as consumers reign in discretionary spending amid macroeconomic weakness and geopolitical uncertainty. Albeit from a low base, cellular smartwatches still outperformed the overall smartwatch market in 2023 as cellular penetration among smartwatches continues to rise slowly but steadily.Research Bulletin – Forecast for Denser DRAM in Servers via Increased CXL and HBM Integration Opportunities
In this research bulletin, it is predicted that servers will increasingly incorporate higher densities of DRAM, facilitated by advancements in technologies such as CXL (Compute Express Link) and HBM (High Bandwidth Memory). These technologies enhance the potential for DRAM integration, meeting the growing demand of traditional workloads which are becoming more memory-intensive.Global Cellular Smartwatch Vendor Share Q1 2017 to Q4 2023
Global cellular smartwatch shipments fell for this first time ever by 6% YoY in the fourth quarter of 2023. Cellular penetration also fell slightly during the quarter as consumers reign in discretionary spending amid macroeconomic weakness and geopolitical uncertainty.Smartphone Fingerprint Sensor Market Share Q4 2023: Revenue Grows YoY, Qualcomm Leads Rankings
The global smartphone fingerprint sensor market recorded more than 10% revenue growth in Q4 2023. Qualcomm grew its share, taking the top spot followed by Goodix and Egistec in the quarter. The market saw improvement in stocking activity for optical and ultrasonic fingerprint sensors for OLED-based customer sets in the period.Global Wearables Microvendor Market Share: Q4 2023
A large number of second-tier MICROVENDORS are playing a meaningful role in the global wearables market, flooding the market with new, niche, and segmented offerings. Global wearables shipments declined for the fifth consecutive quarter in Q4 2023 amid continued economic weakness and geopolitical uncertainty, causing a lengthening replacement cycle and limiting consumer spending.Western European Connected TV Market: 4Q 2023
The smart TV market continues to decline in Western Europe as consumer demand remain weak with households impacted by cost-of-living crisis but as inflation starts to ease, the market is expected to return to growth in 2024 with the coming Olympic games providing a boost to the replacement cycle. This analysis examines the state of the smart TV market and smart TV operating system (OS) market in Western Europe as of Q4 2023.Analysis: Smartphone Memory Market Share Q4 2023
The global memory market for smartphones achieved recovery with revenue growth exceeding 30 percent in Q4 2023. The seasonal demand drove the customer stocking of memory chips having the latest specifications, enabling the market to rebound annually.Deep Dive Teardown of the Samsung SSD 990 Pro Heatsink MZ-V9P4T0 SSD
The external aspect of the device is crafted from aluminum, serving as the primary component of its thermal design. This housing functions as the primary heat dissipater, absorbing and dispersing heat generated from within the device. The primary heat-producing integrated circuits (ICs) are covered with TIM (thermal interface material), establishing a connection with the housing, and facilitating the transfer of heat to ensure effective heat dissipation.YMTC 1Tb 232L QLC 3D NAND Flash Internal Waveform Analysis
The YMTC 1 Tb 232L quad-level-cell (QLC) 3D NAND flash memory device is packaged inside the YMTC YMN0AQF1B1HCAD NAND flash memory package, which was found on the ZhiTai Ti600 M.2 PCIe 4.0 NVMe 1 TB solid state drive (SSD). This Internal Waveform Overview (IWO) analysis provides an overview of the internal voltages required to program, read, and erase the flash memory cells.Telecom Network Equipment Market Update 2023
The overall telecom equipment market has slowed down in 2023 after few years of consistent growth. Global 2023 telecom equipment revenues have a -5% decline from 2022. As in the past, the total market is highly concentrated among the top few players, in 2023, the top 4 vendors accounted for almost 70% of the global market in 2023.Tier 1 Vendor Regional Design Center Database
TechInsights has updated its Automotive Electronics Design Center Database, where research and development of automotive electronics systems and components are undertaken by the world’s leading Tier 1 vendors. This database lists 13 new entries and new facilities, and 18 updated existing entries, which also includes centers developing technology in automotive communication, infotainment, telematics and electric vehicle batteries. In total, around 2,500 centers are listed.VALUE SHARE: Global 5G and LTE Handset Revenue and ASP by Vendor : Q4 2023
Global 5G handset industry revenues and shipments grew double digits in Q4 2023. Apple remains the leader of 5G shipments and revenues, but Samsung is growing behind Apple. Samsung is the world’s second-largest 5G vendor in terms of shipments and revenues. Samsung is the biggest vendor in LTE shipments and revenues.KIOXIA FXZ5_512G 162L 512 Gb TLC 3D NAND Transistor Characterization
The Toshiba TH58LKT2V46BA8S TLC 3D NAND flash is a 154-ball grid array (BGA), multi-chip package (MCP), measuring 13.5 mm × 11.5 mm × 0.9 mm thick, including solder balls. The TH58LKT2V46BA8S contains eight stacked KIOXIA 162-layer FXZ5 512G dies, arranged in two side by side four die stacks. Bond wires connect the two die stacks to the two wider sides of the printed wiring board (PWB).Deep Dive Teardown of the Samsung Galaxy S24+ SM-S926NZVEKOO Smartphone
The Samsung Galaxy S24+ is one of Samsung’s flagship S series phones launched at the Galaxy Unpacked event on February 17, 2024. The SM-S926N model is targeted at the Korean market. In the Samsung Galaxy S24+ SM-S926N phone, Samsung provided 15 out of 42 packages in design wins.
Unlocking Tomorrow's Semiconductor Secrets
Unlock the secrets of tomorrow's semiconductor industry with our exclusive fireside eBook featuring G. Dan Hutcheson. Gain invaluable insights into emerging trends, global dynamics, and the transformative impact of technologies like AI.
The Inner Workings: Analyzing Lithium-ion Coin Batteries in AirPods Pro 2 and Huawei Watch Buds
The Inner Workings: Analyzing Lithium-ion Coin Batteries in AirPods Pro 2 and Huawei Watch Buds Uncover the secrets behind the lithium-ion batteries in Apple AirPods Pro (Gen. 2) and Huawei Watch Buds. Learn how cathode composition influences energyTrackers: TV & Video Services
These quarterly reports provide subscriber, revenue, and market share data for subscription video-on-demand (SVOD) services, pay TV operators, online advertisers, video services, gaming services, and music services by vendor.Report Overview: Wi-Fi 7 Comes to the Automotive Industry
The unveiling of the Wi-Fi 7 certification marks a notable change in automotive connectivity norms. This overview provides insight into the contents of TechInsights' comprehensive report, "Wi-Fi 7 Comes to the Automotive Industry."India: Automotive Infotainment and Connected Services 2024 Update
Automakers are consistently incorporating additional connectivity, safety, security, and entertainment features to gain a competitive edge and sell more cars. In the pursuit of expanding their market share, connectivity is anticipated to play a role, as more models currently available in the market are equipped with embedded cellular connectivity, particularly top-end models.Report Overview: Executive Summary Telecom Predictions for 2024
This report is an overview of what is included within TechInsights Executive Summary – Telecom Predictions for 2024 full report. Telecom operators must make important decisions in 2024 amid transformative waves and emerging challenges.
Transsion Group Tops Middle East & Africa Smartphone Market in Q4 2023
Transsion Group Tops Middle East & Africa Smartphone Market in Q4 2023 Discover the latest insights into the Middle East and Africa smartphone market in Q4 2023. Transsion Group emerges as the dominant player, driving significant growth with its
Automotive Market Outlook Report
Gain the foresight needed to anticipate and adapt to changes in the automotive semiconductor landscape with valuable insights into market projections, emerging technologies, and key drivers.
Unlocking Tomorrow's Semiconductor Secrets
Get ready for an exclusive Fireside Chat with industry expert G. Dan Hutcheson. Explore the latest in semiconductor trends, geopolitics, China's tech advancements, and the impact of AI in 2024.
Unlocking the Challenges of 3D NAND Height: HAR and Process Struggles
In the NAND technology landscape, reducing the vertical gate pitch is a shared goal among major players like KIOXIA, SK Hynix, Micron, YMTC, and Samsung.Global Smartphone Monthly Shipments, Sales, and Inventory Variance by Vendor – March 2024
TechInsights tracked global smartphone shipments (sell in) which went up 2% YoY and sales (sell through) went down 1% YoY in February 2024. Although smartphone shipments rebounded, the industry is still suffering from the mixed consumer demand. Samsung gained the top place by shipments and sales from Apple which nudged down to the second position, followed by Xiaomi, vivo, Transsion, etc.Desay 26S1029 Li-Ion Wearable Battery (Amazon Echo Frames 3rd Gen Smart Glasses) Battery Essentials
This report describes a Battery Essentials (BEF) analysis of the Desay 26S1029 Li-ion battery extracted from the Amazon Echo Frames 3rd generation smart glasses. Powering a wearable device, the 26S1029 battery demonstrates interesting features that are presented in the report.Exploring the Opportunities for NVIDIA in the Chinese Automotive Market at GTC 2024
This report reviews the latest findings from NVIDIA’s GTC 2024 event and examines the future opportunities for NVIDIA in the Chinese market for the development of next-generation intelligent vehicles.indie Semiconductor Bolsters its ADAS Portfolio with Investment in AI Processor Vendor Expedera
indie Semiconductor’s investment in Expedera aims to add Expedera’s neural processing unit (NPU) semiconductor intellectual property (IP) to indie’s advanced driver assistance system (ADAS) silicon and software portfolio.Handset Vendor Market Share for 'Sixty' Countries - Q4 2023
Global handset shipments grew by 4.9% annually in Q4 2023. The "Sixty" countries tracked in this report accounted for 90% of the handset volumes in the quarter. Apple, Samsung and Xiaomi were the top three vendors by consolidated shipments to these markets.Q1 2024 DRAM Market Report
This quarterly report provides a comprehensive analysis of the DRAM market, covering key aspects such as supply and demand dynamics across various segments including PC, datacenter, mobile, automotive, and more.
Unlocking the Potential: Qualcomm's Showcase of Windows on Arm
At an exclusive event in New York, they showcased the impressive performance of their Snapdragon X Elite processor, surpassing rivals Apple M3 and Intel Core Ultra in both total compute power and energy efficiency.
Taiwan Earthquake Expected to Have a Short-Term Impact
In the wake of Taiwan's recent earthquake, the semiconductor industry stands resilient, with only short-term disruptions anticipated. Our thoughts are with those affected by this natural disaster, which has garnered attention as the most intense earthquake in Taiwan over the past 25 years.4Q 2023 Apple A-Series M-Series Processor Market Tracker
Apple's A-series and M-series applications processors (AP) revenue increased 4 percent year-on-year in Q4 2023 and a collective 8 percent year-on-year in 2023. Apple's A-series APs are used in iPhone, iPad, Apple TV, iPod Touch and HomePod while the M-series APs are used in iPad, MacBook, Macs and the Vision Pro devices. This report provides estimates of Apple's A-series and M-series shipments, revenues and ASPs by chip model from Q1 2010 to Q3 2024.Deep Dive Teardown of the Samsung Galaxy S24 Ultra SM-S928U1 Smartphone
The Samsung S24 Ultra is powered by the Snapdragon 8 Gen 3, which is faster than the Snapdragon 8 Gen 2 found in the S23 Ultra. The S24 Ultra introduces a flat display, moving away from the curved edges of the S23 Ultra. It also uses a more durable Gorilla Glass Armor for protection.
Taiwan Earthquake and the Semiconductor Industry
Discover the aftermath of the Taiwan earthquake on the semiconductor industry and global supply chains. Learn how major players like TSMC are navigating disruptions while prioritizing safety.
Taiwan Earthquake: Billion-Dollar Data Center Fallout
A recent earthquake in Taiwan has disrupted industries, including the data center market, raising concerns about supply chain stability.
DRAM Scaling and Maximum Memory Capacity
Discover the latest advancements from industry leaders Micron, Samsung, and SK hynix, and gain insights into the future of technology.
2023 Automotive Semiconductor Vendor Share: STMicroelectronics Closing in on NXP and Infineon
The automotive semiconductor landscape in 2023 showcased Infineon and NXP retaining their top positions, with Infineon widening its lead over NXP.Automotive in-Car Commerce 2024 Update
In-car commerce (also commonly called in-vehicle payments) is one of these more recent features that OEMs have been deploying over the last several years. In-car commerce enables vehicle occupants to pay for fuel, charging, parking, and other services from the infotainment system’s display. As of early 2024, 14 automotive brands offer in-car payment features in 15 countries, with more deployments planned.
Huawei's Q4 2023 Resilience in China Market
Despite facing stiff competition from Apple in the mid- to premium-tier segments, Huawei's performance during China's 11.11 online shopping festival solidified its position as a top contender.Automotive Semiconductor Vendor 2023 Market Shares Data
This datasheet presents TechInsights analysis of 2023 automotive sector revenues and market shares of the leading semiconductor vendors, with revenue splits by major component product category and by region including N. America, Europe, Japan, China, South Korea and ROW. It also includes market shares and revenue splits for previous years extending back to 2010.Sony IR Eye Tracking 1.8MP Camera from Apple Vision Pro Package Analysis
The Apple Vision Pro augmented reality headset uses four inferred cameras to track its wearer’s eyes. This report catalogues the structure of those camera modules, how they fit into the headset, and provides an overview of the Sony back-side illuminated CMOS image sensor that they house.OmniVision OX03D4C 1/4" 3MP 2.1μm Pixel CMOS Image Sensor Device Essentials Plus
The OmniVision OX03D4C is a color, 3-megapixel (MP) resolution stacked back-illuminated (BI) CMOS image sensor (CIS) with a 1/4-inch optical format, LED flicker mitigation (LFM), and 140 dB high dynamic range (HDR) for automotive applications.
Micron Q1 2024 (FY Q2 2024) Report Summary
Discover the highlights of Micron's Q1 2024 report, showcasing impressive growth and strategic advancements in the semiconductor industry. Gain insights into Micron's market outlook and innovative initiatives shaping the future of semiconductors.Baidu Kunlun II A2S1CAXGA SoC Packaging Quick Look Analysis
This is an Advanced Packaging Quick Look (APQ) summary document for the Baidu Kunlun Xin A2S1CAXGA processor, provided as a companion deliverable for the APQ-2312-801 project. The Baidu Kunlun Xin processor, also referred to as the Kunlun II, is the second-generation of the Baidu Kunlun processor series.Survey Plus Teardown of the Xiaomi Redmi Note 13 23129RAA4G Smartphone
The Redmi Note 13 comes with a 120 Hz, 6.67-inch AMOLED touchscreen display offering a resolution of 1080 x 2400. Xiaomi Redmi Note 13 has four cameras.Survey Plus Teardown of the Samsung Galaxy A15 SM-A155M Smartphone
Most of the main SoC in the Samsung Galaxy A15 4G are from Mediatek. In the comparison of the Samsung Galaxy A14 4G it has Octa-Core Helio G99 Applications/Baseband Processor (MT6789V-CD), Power Management (MT6366MW), and RF Transceiver (MT6186MV) chips.Deep Dive Teardown of the Meridian Kia EV6 Audio Amplifier 96370-CV100 Automotive
The Meridian 96370-CV100 is a high-end audio 11 Cannel Amplifier that was designed Meridian Audio. This system is available in the KIA EV6. Communication between the audio amplifier and the audio elements in the car happens through one main connector.Deep Dive Teardown of the Denso Toyota Prius Front Windshield Camera 8646C-47130 Automotive Camera
Designed by Denso, the Vision Sensor Camera is a part of the Toyota Prius safety and driver assistance system. It combines a millimeter-wave radar sensor and vision sensor to assist the driver in safely controlling the vehicle.Deep Dive Teardown of the Xiaomi Redmi Note 13 Pro 2312CRAD3C Smartphone
Released in September 2023, the Xiaomi Redmi Note 13 has been equipped with Qualcomm’s Octa-Core Snapdragon 7s Gen 2 Applications/Baseband Processor # SM7435-100-AB, made in 4nm technology. Memory was provided by Micron and contains 12 GB Mobile LPDDR5 SDRAM and 512 GB 3D TLC NAND Flash.STMicroelectronics Ouster L3 Chip Third-Generation Direct Time-of-Flight CMOS Image Sensor Device Essentials Plus
The Ouster OS1 Mid-Range High-Resolution Imaging LiDAR incorporates the new REV7 sensor that uses the L3 Digital LiDAR Chip. This L3 chip is a stacked back-illuminated (BI) direct time-of-flight (d-ToF) fabricated by STMicrolectronics. The L3 chip uses single photo avalanche diode (SPAD) pixels to analyze the IR signal that is returned to the LiDAR from the emitting source located in the LiDAR.YMTC 1.33Tb 128L QLC 3D NAND Internal Waveform Overview
The following is an Internal Waveform Overview containing the program, read, and erase waveforms for the YMTC 1.33 Tb 128L quad-level-cell (QLC) 3D NAND flash memory device (die markings: CEC1A). This device is packaged inside the YMTC YMC4G0W1TbG1AA1C0 NAND flash memory package, which was found on the HikSemi HS-SSD-V300 2.5 inch SATA 6 Gb/s 512 GB solid state drive (SSD).Smartphone On-Device AI Chip Market Share Tracker Q4 2023: Mobile AI Becomes Table Stakes
Global smartphone apps processors (AP) with on-device artificial intelligence (AI) increased 26 percent year-over-year in Q4 2023 as the mobile chip industry rebounds from a market slump. Qualcomm's and Apple APs continue to dominate the absolute volume of on-device AI designs in Q4 2023 with MediaTek making significant gains with their latest Dimensity 9300.Deep Dive Teardown of the TiVo Stream 4K IPA1104HDW-01 Streaming Media Stick
Several manufacturers share design Wins for the TiVo Stream 4K IPA1104HDW-01. The main SoC came from Amlogic. For this device, Nanya supplied 2 GB LPDDR4 SDRAM. Whereas NAND memory comes from Samsung and offers 8 GB MLC NAND Flash.Hybrid Technologies Legislation/Support
This database has collated the various incentives and legislation used to promote the development, manufacture and sales of electric and hybrid vehicles. It focuses on over 1,000 incentives and mandates, from Europe, North America and significant highlights from other regions.EV/HEV Technologies Supply & Fitment Database
This database has collated the various developers and suppliers of starter-alternators, electric motor-generators and batteries for each battery electric, hybrid and fuel cell vehicle model that has been assembled since 1997, is being planned for future production or is being developed for possible future deployment.Deep Dive Teardown of the Huawei Qingyun L540-001 Laptop
The Huawei is a laptop that features a fan-based cooling system. Between the processor and heat pipe there is a lot of thermal paste. The laptop is equipped with a cooling fan that helps to dissipate heat from the internal components, including the CPU.Global Fitness Band Vendor Market Share by Region: Q4 2023
Global Fitness Band shipments plummeted by over 40% year-over-year (YoY) for the third consecutive quarter during Q4 2023. Slumping demand for the market leading Xiaomi Mi Band line as well as a market exit from Samsung, in addition to macroeconomic weakness, geopolitical uncertainty, and continued high inflation stifling consumer discretionary spending contributed to the downtick. Consumers are trending away from fitness bands and toward smart and feature watches.Global Smartwatch OS Market Share by Region: Q4 2023
Global smartwatch shipments declined for the fifth consecutive quarter during Q4 2023, after Q4 2022 marked the first year-over-year (YoY) decline since 2016 as the smartwatch space begins to mature and consumers reign in discretionary spending causing a lengthening replacement cycle amid macroeconomic weakness.North American 5G Smartphones Country Forecast to Q4 2025
5G smartphone shipments in North America in Q4 2023 accounted for over nine in ten of total smartphone shipments in the region. The US accounted for more than nine in ten of all 5G smartphones shipped in the region in the quarter. Apple was the leading 5G smartphone vendor and Samsung was the leading Android 5G smartphone vendor. This report tracks 5G smartphone shipments for the top vendors in the US by quarter from Q1 2019 and forecasts shipments through 2025. It is a valuable tool for all stakeholders who want to track the influential North American 5G market.
AI Notebook PC Forecast: 95% AI-Capable Shipments by 2029
AI-capable notebook PCs– defined as a notebook PC that ships with a dedicated chipset built specifically to accelerate AI computing on device (i.e. an NPU) –will represent 95% of the total notebook PC market, or 230.5 million units, shipped in 2029.
Samsung Dominates Latin America's Smartphone Market: Q4 2023 Breakdown
In Q4 2023, Latin America's smartphone market experienced significant growth driven by economic improvements, festive occasions, and the introduction of new Apple iPhone models.4Q 2023 Smartphone Apps Processor Market Tracker
The global smartphone applications processor (AP) market gained a healthy 17 percent year-on-year in unit growth during Q4 2023 with corresponding revenue increase of 14 percent. Smartphone market has shown renewed strength in the fourth quarter as OEMs and chipmakers looks toward growth after the 2022-2023 industry correction. This report tracks stand-alone and integrated smartphone applications processor unit shipments, revenue market share and ASPs for 18 chip vendors from Q1 2008 to Q4 2023.France Handset Vendor Marketshare by Operator: Q4 2023
The French handset market in Q4 2023 remained flat by shipment basis. Apple captured the number one positions across all local carriers while Samsung stood at the number two positions. Xiaomi maintained the number three ranking while Oppo kept losing its momentum recently.Forecasts: TV & Video Services
These reports provide TechInsights latest forecasts on connected TV advertising, subscription TV, and subscription video-on-demand (SVOD) by service. Our advertising forecast includes ad spend by linear TV, SVOD, free-ad-supported TV services (FAST), broadcaster VOD (BVOD), search, social, print, audio, cinema, and out-of-home (OOH). The SVOD forecast includes subscribers, revenues, and market share by service.ANALYSIS: Global 5G and mmWave Smartphone Shipments Forecast by Region to 2025
The 5G honeymoon period is over. Global 5G smartphone shipment growth remained low in 2023 due to economic turbulence. Growth is stagnating due to Chinese softness, and geopolitical and economic turbulence. Apple leads vendors with an over one-fourth share of all 5G shipments globally, followed by Samsung and Xiaomi. By 2025 Apple’s lead will have shrunk as Samsung grows its shipments faster than the other leading vendors. 5G mmWave devices continue to exist mainly in the North American and Japanese markets.
NVIDIA's Blackwell Platform: Powering AI with Advanced Electronics
NVIDIA's unveiling of the Blackwell Platform at GTC 2024 has sparked industry-wide excitement, showcasing the company's prowess in AI computing.Data-Center AI Chip Market – Q1 2024 Update
The data-center AI chip market expanded beyond anyone’s wild imagination in 2023. NVIDIA tripled its revenue and reached a two trillion dollar valuation in the stock market. The demand for GPUs continued to make headlines with supply being the constraint for the growth.Global Smartwatch Vendor Market Share by Region: Q4 2023
Global smartwatch shipments declined for the fifth consecutive quarter during Q4 2023, after Q4 2022 marked the first YoY decline since 2016 as the smartwatch space begins to mature and consumers reign in discretionary spending causing a lengthening replacement cycle amid macroeconomic weakness.
Apple iPad Model Tracker 4Q 2023: Big Changes Expected in 2024 Refresh
Apple is rumored to launch new iPad Pro models with the M3 chip and even new iPad Air models in late March 2024, kicking off a year of iPad updates. These will be important updates to Apple’s portfolio and should be cast in the new light of what is possible with on-device AI to reinforce the messaging that iPads are PC replacements as well as entertainment devices.Deep Dive Teardown of the Bosch 2022 Peugeot 208 Head Unit 9841575880 Automotive
The PSA RCC A1 is a head unit designed for PSA Group (now Stellantis) cars. This model was mounted in a 2022 Peugeot 208. It features AM/FM and DAB radio, Bluetooth 4.0, and USB 2.0 connectivity. The head unit supports four 50 W speakers and a rear-view camera.Deep Dive Teardown of the H3C Magic BE18000 WiFi Router
The H3C Magic BE18000 is one of the first Wi-Fi 7 (802.11be) routers on the market. It is powered by Qualcomm’s chipset and a 2.2 GHz quad-core processor + 1 GB of RAM and 128 MB of storage. It uses MU-MIMO in 2.4 and 5GHz WiFi bands and there are 16 antennas inside (4x2.4GHz MIMO omnidirectional antennas + 3 sets of 5GHz MIMO directional antennas).UK Handset Vendor Marketshare by Operator: Q4 2023
We estimate 6.7 million handsets were shipped in the UK in Q4 2023, growing by 8% YoY. Apple maintained its position as the number one handset vendor across all four major UK operators during the quarter, largely boosted by the strong demand of the iPhone 14 / 15 series. Samsung trailed Apple across four major UK operators during the quarter. While Xiaomi defended number three position in the UK market, Oppo continued to lose momentum. This report tracks quarterly handset vendor market share at the four major UK operators -- EE, Vodafone, O2 and Three -- from Q1 2009 to Q4 2023.Nexperia NSF040120L3A0 1200V 40mΩ N-channel SiC Power MOSFET Power Essentials Analysis
This report presents a Power Essentials analysis of the Nexperia NSF040120L3A0 silicon carbide (SiC) power MOSFET. The NSF040120L3A0 power package features a N-channel 1200 V SiC power MOSFET device which provides a maximum continuous source/drain (S/D) current of 65 A (at T = 25 °C) and a 40 mΩ typical S/D ON-resistance (RDS(ON)) and is designed for high power and high voltage industrial applications.Analysis: Apple iPad Model Tracker Q4 2023 – Expect Big Changes in 2024 as Apple Refreshes the iPad
As economic conditions improved in the second half of 2023, so too did tablet demand. However, with a product portfolio not updated since late 2022, low refresh demand for iPad held back a stronger recovery.
NVIDIA Goes All In on Generative AI
NVIDIA's latest unveilings represent a significant leap forward in technological innovation across various domains. The introduction of the Blackwell GPU, while lacking specific performance metrics, hints at enhanced capabilities, especially with its integration into the DRIVE THOR SoC.Intel SRMZ1 Core Ultra 7 8PNRC (SoC Tile) TSMC 6nm FinFET Digital Floorplan Quick Look
The SRMZ1 is a 3D packaging assembly comprising a side-by-side arrangement of four dies, including system-on-chip (SoC) die with die makings 8PNRC, mounted on a common interposer placed on the package wiring board (PWB) employing Intel’s 3D Performance Hybrid Architecture packaging technology. SRMZ1 application processor was extracted from the Acer 14" Swift Go 14 multi-touch laptop.Survey Plus Teardown of the Samsung S24 Ultra SM-S928B/DS
The Samsung Galaxy S24 Ultra comes with a custom version of Qualcomm's high-end chipset - Snapdragon 8 Gen 3. This phone has a 5,000mAh battery with support for 45W fast charging. The SIM card tray can handle two nano-SIM cards.Data-Center AI Chip Market 2023 Year-End and Q4 2023 Update
This document summarizes quarterly update (Q4/23) from companies like DC AI Chips, Nvidia, Intel and AMD, and key yearly updates (2023) for the data center AI chip market.
Samsung Unveils First Imager Featuring Hybrid Bond Technology
Unlock the future of imaging technology with TechInsights' groundbreaking discovery: Samsung's inaugural imager boasting innovative hybrid bond technology.China: Smartphone Shipments & Marketshare by Model: Q4 2023
China is the world's largest smartphone market. It delivers high volume and revenue to device makers, component suppliers and operators. Our extensive report shows the top-100 best-selling smartphone models in China in the fourth quarter of 2023. Besides popular Apple, Honor, Oppo, Vivo, and Xiaomi models, which brands and models have made their way into the bestsellers and what is the secret behind their success?Germany Handset Vendor Marketshare by Operator: Q4 2023
We estimate 6.2 million handsets were shipped in Germany in Q4 2023, declining by -2% YoY during the quarter. Apple captured the leading positions across all major carriers in Germany ahead of Samsung. Xiaomi maintained its standing while Oppo lost the ground due to patent issues. vivo and Realme as well as Oppo shipped no new smartphones during the quarter.Smartphone Vendor Marketshare for 'Next 60' Countries: Q4 2023
Global smartphone shipments grew by 7% annually YoY in Q4 2023. The sixty countries tracked in this report accounted for 91% of these global shipments. Apple was the largest vendor and was followed by Samsung on consolidated shipments to these markets.AI-Capable Notebook PC Shipments Forecast by Region and OS 2023-2029: 1Q 24
AI-capable notebook PCs - defined as a notebook PC that ships with a dedicated chipset built specifically to accelerate AI computing on device (i.e. an NPU) – will represent 95% of the total notebook PC market, or 230.5 million units, shipped in 2029.Prophesee GenX320ES Event-Based Metavision Sensor Mixed Signal ISP Die Advanced Floorplan Analysis
This report presents an advanced floorplan analysis of the image signal processor (ISP) die from the Prophesee GenX320ES, 1/5″ format, 320 ×320 pixels resolution, 6.3 μm pixel pitch, stacked back-illuminated event-based Metavision® CMOS image sensor for embedded vision and power-sensitive applications.Beken BK3296 22nm Bluetooth Audio SoC Process Analysis
This report provides an analysis of the structure and materials used in the manufacture of Beken BK3296 22nm Bluetooth Audio SoC manufactured in UMC's 22nm ULP process. The report includes a summary of key findings, and a detailed look at the FEOL, MOL and BEOL structures and materials used. Extensive SEM, TEM and materials analysis provide a complete look at how this device was manufactured.
3D NAND Technology Roadmap
Gain exclusive access to TechInsights' comprehensive 3D NAND Technology Roadmap, guiding you through the ever-evolving landscape of innovation.
Maximizing NAND Capacity per Wafer in 3D NAND Production
Stay ahead in the dynamic world of semiconductor technology with insights into the race for maximum NAND capacity per wafer in 3D NAND production. Discover how advancements are driving innovation, pushing boundaries, and shaping the future of storage.Deep Dive Teardown of the OnePlus Open CPH2551 Smartphone
Power Management (PM8550VE-001, PM8550VS-001, and PM8550-001) used in Samsung version S24 Ultra, which indicates the use of the same chipset as flagships smartphones. ICs from Skyworks, Qualcomm and Murata provide RF chips for smartphones. Of the new RF chips, the most notable is the LB Front-End Module (SKY58101-11). OnePlus has WiFi 7 and Bluetooth 5.3, for this used Qualcomm IC (WCN7851-101).Deep Dive Teardown of the Samsung Galaxy S24 SM-S921B/DS Smartphone
The manufacturer with the main cost is Samsung. It supplied the Applications/Baseband Processor, DDR5X SDRAM, 3D TLC V-NAND Flash, RF Transceiver + GPS, NFC Controller, 8x Power Management, Camera Power Management, 3x Envelope Power Tracker, and Clock Buffer. Samsung supplied a total of 18 chips for this device.
Accelerating Automotive Innovation with AI
Discover the groundbreaking Blackwell chip, promising accelerated advancements in autonomous driving and electric vehicles with its impressive performance improvements.Intel Core Ultra 7 155H Meteor Lake CPU Die (Intel 4) Advanced CMOS Process Analysis
This report provides an analysis of the structure and materials used in the manufacture of the of the Intel Core Ultra 7 155H (Meteor Lake) CPU die (compute tile), fabricated using the Intel 4 finFET process. The report includes a summary of key findings, and a detailed look at the FEOL, MOL and BEOL structures and materials used. Extensive SEM, TEM and materials analysis provide a complete look at how this device was manufactured.
Blackwell Unveiled - A Leap Towards Exascale Compute
Discover Blackwell, NVIDIA's latest GPU chip unveiled at GTC 2024. With unparalleled performance and innovative features, Blackwell sets a new standard for server capabilities, paving the way for exascale computing.Smartphone Battery Market Share CY2023: Revenue Decline Exceeds 10% YoY
The global market for smartphone battery cells recorded more than 10% annual decline in revenues in CY 2023. Revenues fell because of the sluggish market demand and declining cell pricing environment. Amperex Technology Ltd. (ATL) led with 46% revenue share followed by LG Energy Solutions and Samsung SDI. This report includes the global shipments, revenue and ASP of battery cells by vendor by quarter from Q1 2018 to Q4 2023.
Blackwell Turbocharges NVIDIA's Push into Automotive Cockpits with Generative AI Solutions
Unlock the future of automotive innovation with NVIDIA's generative AI solutions. Integrating Blackwell GPU architecture into the DRIVE THOR SoC, NVIDIA promises advancements in vehicle technology.Global Smartwatch Sales Forecast for 88 Countries: 2012 to 2029
Global smartwatch unit sales are expected to grow by 5% in 2024 and 8% in 2025, despite global economic uncertainty. We expect technology and traditional fashion and wristwatch heavy weights as well as new market entrants in China and India to further expand the market in the coming years.Consolidated Datacenter Forecast Q1 2024
The consolidated datacenter forecast aggregates data from multiple TechInsights specialists to produce a single view of the memory, processors, accelerators, and servers required to support the world's data centers over the next five years. The data are further broken down into hyperscaler and public cloud breakdowns.
Top 10 Smartphone Models
Explore the top 10 smartphone models unveiled at MWC 2024, ranging from sleek flagships to budget-friendly alternatives. Discover groundbreaking innovations and cutting-edge features that redefine the mobile experience.
Apple Vision Pro Cameras
Unlock the secrets of the Apple Vision Pro camera system with die photos and precise measurements to discover its groundbreaking technology today.New Partnership Models Between Global OEMs and Chinese Automotive Start-ups
Starting from the second half of 2023, global OEMs, represented by Volkswagen and Stellantis, have acquired ready-made vehicle platforms and related core development capabilities from Chinese automotive start-ups. Compared with the previous joint venture method adopted by global OEMs in the 1980s to enter China by exchanging their leading technologies for access to the Chinese market, this round of cooperation is called a “reverse joint venture”.Understanding Datacenter Coverage at TechInsights
TechInsights’ data center and cloud coverage area analyzes how chip-buying organizations use semiconductors in their products and how end-user demand drives change. This document summarizes how technology vertical specialists work together to produce a holistic view of the data center market.Global OEM Electric Vehicle Strategies: Divergence In Competing With China
As Chinese auto makers begin exports of battery electric models to mature market regions, global auto groups expressed concern over their price competitiveness. Some OEMs have announced the delay to their earlier plans to develop more affordable models. Others have announced their intention to continue with their plans, aiming to achieve cost reductions to their operations. Tesla, meanwhile, has lowered its cost footprint to force a price war on rivals.Baseband Market Share Tracker Q4 2023: Industry Looks Towards 5G Advanced and AI to Drive Growth
The global cellular baseband processor market grew 3 percent year-on-year (volume shipments) in Q4 2023 as the industry continues to recover from the disruptive inventory adjustments of the past several quarters. Major smartphone baseband vendors are now looking to 5G Advanced and AI to spur growth.Deep Dive Teardown of the Samsung Galaxy A15 5G SM-A156E/DS
The Samsung Galaxy A15 5G has several design solutions that are different from the previous model. First is a new processor from Mediatek. The Samsung A14 5G has Dimensity700 (MT6833V, CMOS -7nm) Octa-Core Processor and Samsung A15 5G has Dimensity6100+ (MT6835V, CMOS -6nm) Octa-Core Processor.Deep Dive Teardown of the Amazfit BIP 5 A2215 Smartwatch
The Amazfit_BIP_5_A2215 is a low budget smartwatch with an Ultra-large Display (1.9’’) and long battery life. The device is based on ZeppOS 2.0 and supports Alexa Voice Assistant.Deep Dive Teardown of the ZTE Nubia RedMagic 8S Pro NX729J Smartphone
The ZTE Nubia Red Magic 8S Pro was launched on July 11, 2023, with a focus on gaming. It utilizes the Qualcomm SM8550-AC Snapdragon 8 Gen 2 chipset and runs on RedmagicOS 8, based on Android 13. The device features a 120 Hz, 6.8-inch display with a resolution of 2480 x 1116. It supports 65W fast charging, facilitated by three Qualcomm chips (two SMB1396-002-02 and one SMB1399-001-03) responsible for fast charging.Streaming Service Content Spend (2010–2024e)
In 2023 Netflix, Disney, and Warner Bros Discovery cut their streaming content spend by $7.8 billion, however, this was at least partially offset by spending increases by Amazon Prime Video, Paramount+, and Peacock. This report examines the annual content spend of major global media and technology companies and their streaming services.Texas Instruments UCC21717QDWRQ1 Automotive Single Channel Isolated Gate Driver Power Essentials Analysis
The Texas Instruments (TI) UCC21717QDWRQ1 is a galvanically isolated single channel gate driver designed for driving SiC MOSFETs and IGBTs with up to 1700 V, offering advanced built-in protection, exceptional dynamic performance, and durability.Analysis: Smartphone Display Panel Market Share Q4 2023
The global smartphone display panel market fell nearly 5% year-over-year in Q4 2023. The revenue declined on the back of a weakening LCD market and a fall in OLED panel ASPs due to intense competition in the period. Samsung Display secured the top spot with over 55% revenue share followed by BOE Technology and LG Display.Q4 2023: Huawei – Strong Resilience in China Market
Driven by the comeback in 5G segment and the eased supply constraints, in this quarter, Huawei’s smartphone shipments increased tremendously 84% YoY to 12.5 million units globally, most volumes coming from China market. Along with the rising ASP, Huawei’s wholesale revenue doubled year-over-year.Deep Dive Teardown of the Dell XPS P91F Mobile Computer
The battery can be charged by using USB Type-C port with support of 130 W charging. A charger is included. The Dell XPS is equipped with a 60 Hz, 15.6” OLED Display with a resolution of 3456 x 2160. It features two WiFi antennas with an Intel module supporting the WiFi 6E. Both of those antennas support Bluetooth 5.3 connectivity.
MWC Post Show Video 2: PC Sustainability, Automotive Connectivity, and AI Innovation
Explore exclusive insights from our top analysts at MWC 2024, uncovering this year's pivotal technology trends.Exploratory Analysis: Kirin 8000 SoC extracted from Huawei Nova Pro Processor
We carried out exploratory analysis of the Kirin 8000 processor extracted from a recently released Nova 12 Pro, a 5G smartphone from Huawei, one of the series of 5G smartphones released by Huawei, despite US sanctions. The application processor has very similar package markings as the Kirin 985 processor from Honor 30 released in 2020.Exploratory Analysis: Kirin 9000W SoC extracted from Huawei MatePad Pro 13.2-inch Tablet
Exploratory Analysis of the Kirin 8000 SoC extracted from the Huawei Nova Pro processor, which appears to be a close relative of the Kirin 985 (released in 2020), but with a die shrink. This report determines the origin of the foundry and the process node.Data-Center AI Chip Market Share Q1 2024
Apple’s share is slowly eroding but it will keep peak position through strong iPhone sales in 2024 and 2025. We forecast Samsung will retain second place globally in 2024 and 2025 followed by Xiaomi taking third position.Global 5G Smartphone Shipments Forecast by Vendor by Region by Quarter to 2025
Apple’s share is slowly eroding but it will keep peak position through strong iPhone sales in 2024 and 2025. We forecast Samsung will retain second place globally in 2024 and 2025 followed by Xiaomi taking third position.Global 5G mmWave Smartphone Shipments Forecast by Vendor by Quarter to 2025
5G mmWave is the fast high-frequency connectivity method that is part of the bigger 5G family. We expect the United Stated (US) and Japan to remain main advocates for mmWave in smartphone use. Apple and Samsung are the biggest mmWave device vendors owing to their share from the US market.
MWC Post Show Video 1: Insights from Mobile World Congress 2024
Join TechInsights MWC attending analysts as they reflect on some of the biggest surprises and takeaways from the event and discuss which of their pre-show predictions rang true.Smartphone Display Panel Market Share Q4 2023: Strong Growth in OLED Panel Shipments
The global smartphone display panel market fell nearly 5% percent year-over-year in Q4 2023. The revenue declined on the back of weakening LCD market and fall in OLED panel ASPs due to intense competition in the period. Samsung Display secured top spot with over 55 percent revenue share followed by BOE Technology and LG Display.LTE / LTE-Advanced Handset Vendor Shipments by Country: Q4 2023
LTE-Advanced handset market has continued to show a descending curve as more 5G smartphones are shipped to major countries recent quarters. 5G dynamics have substantially started to change 4G dynamics. In Q4 2023, India has become the biggest LTE-A handset market in the world for 14 quarters in a row, by volume.DRAM Market Report Q1 2024
This quarterly report provides a comprehensive analysis of the DRAM market, covering key aspects such as supply and demand dynamics across various segments including PC, datacenter, mobile, automotive, and more. It meticulously maps the entire DRAM market landscape, offering insights into production volumes, pricing trends, technological advancements, and market shares.
Amazon's 30% Market Share: Smart Speaker Leadership in 2023
In the dynamic realm of smart technology, Amazon has maintained its pioneering position in smart speakers and displays since its inception in 2014.Q4 2023: Lenovo-Motorola: Growth Among All Key Regions
Lenovo-Motorola’s global smartphone shipments grew 33% year-over-year (YoY) in Q4 2023 outperforming the global smartphone market driven by strong performance in its key markets US and Mexico, and strong triple digit annual growth in India.USI 339M00227 Antenna-In-Package Packaging Analysis
This report presents a package analysis (PKG) on the USI 339M00227 mmWave AiP device. The 339M00227 AiP contains a radio frequency TX/RX transceiver die and an antenna array formed from the metallization layers of a printed wiring board (PWB).
Empowering 5G: Revolutionizing Mobile RF Design Challenges with Acoustic Wave Filters
5G requirements have driven a major shift in Mobile RF technologies and radio design. RFFE designers and engineers now need to contend with a plethora of challenges in radio design, ranging from supporting RF to tighter module integration with an increased number of components, one of which is RF filters.
Decoding the Oura Ring Gen 3: Unraveling the Mystery of Limited Mass Adoption
Our report takes a deep dive into the smart ring market, examining use cases, integration with healthcare systems, regulatory hurdles, user experience, teardown analysis, key current and future vendors, total market size and growth trajectory, as well as an in-depth look at the leading product in this space: the Oura Ring Gen 3.MWC 2024: Key Takeaways for Mobile Semiconductor
The 2024 (MWC24) edition highlights the industry’s current interest areas and hot topics in a period when 5G is approaching mid-cycle deployment and growth. The topics which drew much of the attention this year in Barcelona were mobile generative artificial intelligence (AI), the emergence of 5G RedCap, and satellite NTNs.MWC 2024: Telecoms Key Takeaways
This post-event report summarizes the top themes discussed at MWC 2024 (Mobile World Congress 2024) held from 26th Feb till 29th Feb in Barcelona. The report talks about AI the hottest topic this year at MWC across the entire telecom ecosystem and provides TechInsights' views on selected themes.Tsingteng Micro THN31FWC1 NFC Controller Floorplan Analysis (IoTB)
This report describes a Basic Floorplan Analysis (BFR) of the Tsingteng Micro THN31FWC1 die found inside Tsingteng Micro THN31FWC1 component (a WLCSP). The THN31FWC1 was extracted from the 8849 TANK 3 smartphone.
Samsung K9YYGB8J1C-CCK0 176L QLC 3D NAND Memory Floorplan Analysis
This report presents a Memory Floorplan Analysis of the Samsung K93KGO8J0C 176L QLC 3D NAND found inside Samsung K9YYGB8J1C-CCK0. The K9YYGB8J1C-CCK0 was extracted from the Samsung T5 EVO MU-PH4T0S 4 TB Portable SSD drive.
Deep Dive Teardown of the Xiaomi 14 Pro 23116PN5BC Smartphone
The 32 MP front camera on the Xiaomi 14 Pro 23116PN5BC uses an OmniVision image sensor. Three back cameras are also included. The primary 50 MP wide-angle has an integrated OIS, an AF module, and an OmniVision image sensor. The 50 MP telephoto camera has an integrated OIS and AF module and uses a Samsung image sensor.Intel Core Ultra 7 155H SRMZ1 Meteor Lake CPU Die Intel 4 FinFET Process Digital Floorplan Analysis
This report presents a Digital Floorplan Analysis of the CPU die (die 1) found inside Intel SRMZ1 (Meteor Lake) component extracted from the Acer 14" Swift Go 14 Multi-Touch Laptop. Meteor Lake processors, the first generation of Intel Core Ultra processors, were launched December 14, 2023. It comprises 16 CPU cores: 6 performance cores (P-cores), 8 efficient cores (E-cores), and 2 low power efficient cores (LP E-cores).MWC 2024: Key Takeaways for Personal Computing
This report summarizes major personal computing related announcements at Mobile World Congress 2024. Companies mentioned include Qualcomm, Intel, AMD, Arm, Lenovo, Dell, Samsung and Acer. Topics covered include AI, generative AI and sustainability.Wi-Fi 7 Comes to the Automotive Industry
With the launch of the official certification for Wi-Fi 7, semiconductor companies, for example MediaTek and Qualcomm, have already launched automotive-specific connectivity products that support this new standard. This report discusses Wi-Fi 7, provides TechInsights’ forecasts for automotive Wi-Fi feature adoption, a summary of the new standard’s features and how it compares to previous generations of Wi-Fi.
Chip Observer (March 2024)
TechInsights' Chip Observer, a publication dedicated to monitoring the semiconductor market from production facilities to consumer endpoints.MWC 2024: Smartphone and Wearable Wrap-Up
Mobile World Congress (MWC) – the world’s largest mobile industry event – took place in Barcelona, Spain from February 26 (Monday) to February 29 (Friday), 2024. The event saw over 101,000 attendees as well as more than 2,700 companies exhibit, sponsor, and partner in the event. Analysts from TechInsights attended the show. This is the wrap up report for our mobile device relevant findings in the show.Mobile World Congress 2024: C-V2X Initiatives Multiplying
Mobile World Congress 2024 announcements impacting the automotive industry revolved around V2X and non-terrestrial-network connectivity via satellites. Autotalks was prominent in multiple announcements at the show for both current and anticipated modules and semiconductors supporting V2X communications.Hardware Will Continue to be the Fuel That Powers Public Cloud Growth
Despite signs of a slowdown in public cloud growth, providers will still require a substantial quantity of processors, accelerators and other infrastructure to support continued demand and to replenish server stock.Smart Home Platforms – March 2024
This database is updated annually and provides a comparison of key capabilities and attributes of 55 smart home platforms, covering both OEM-specific and licensable platforms. The list, although long, is not exhaustive, and updates will be made each year to reflect the market.NAND Market Report Q1 2024
A comprehensive guide to the ever-changing NAND market, updated every quarter. This analysis covers supplier production trends, market shares, shipment volumes, revenue, and pricing forecasts. It examines the technological and manufacturing innovations influencing the industry and identifies the key developments driving market demand.
Samsung Leads Pro AI Generative Smartphone Revolution
Discover the latest insights on Samsung's leadership in the Pro AI smartphone revolution. Log in now to access the full report and stay informed about the future of mobile technology.OECD Fixed Broadband Price Benchmarking Q4 2023
The Q4 2023 update of the OECD Fixed Broadband Price Benchmarking service is now available for download and includes over 1,500 fixed broadband tariff plans from more than 120 providers across 38 OECD countries.
Deep Dive Teardown of the Ring A19 Smart LED Bulb SAT1S3 Smart Bulb
Supplied by Nordic Semiconductor’s ANT/BT LE SoC #nRF52832, the Ring A19 Smart LED Bulb supports connectivity protocols such as BT 5.3, BT 2 Mpbs, BT Mesh and does not support Matter Connectivity Protocol, BT Long Range, BT Direction Finding, BT LE Audio or Thread, and Zigbee.SK hynix H56G42AS8D-X014 8Gb GDDR6 DRAM D1y nm Advanced Memory Essentials
This is the first TechInsights identified DRAM product that has applied a high-k dielectric on periphery transistors in SK Hynix DRAM. This report presents an advanced memory essentials (AME) of the SK Hynix H56G42AS8D-X014 (H56G32CS Die) 8 Gb GDDR6 DRAM D1y nm.Trackers: Connected TV Devices
These quarterly reports provide timely, tactical shipment, installed base and market share data for connected TV devices overall as well as smart TVs, streaming media players, and game consoles by vendor and TV operating system (TV OS). In addition to global tracking the following regions/countries are covered: North America, Central and Latin America, Western Europe, Central and Eastern Europe, Middle East and Africa, Asia Pacific.Japan: Smartphone Shipments & Marketshare by Model: Q4 2023
Japan is the world's fourth largest smartphone market by value. It delivers high revenues to device makers, component suppliers, and operators. Our extensive report shows the top-50 best-selling smartphone models in Japan in the fourth quarter of 2023. Besides popular Apple, Google, Sharp, and Sony flagships, which brands and models have made their way into the bestsellers list, and what is the secret behind their success?RF Industry Review Q4 2023: Financials
With an uptick in mobile phone shipments in Q4, sales increased an average of 5.4% for radio component suppliers reporting results. Despite this, median profitability as defined by net income divided by sales decreased slightly from 10% to 8% sequentially for the companies in our sample.
Consumer Electronics Trends
Dive into consumer electronics trends and expert insights on AI, gaming, smart home tech, and more. Download now and stay ahead of the curve.
Telecom Trends
Unlock the future of telecom with the 2024 Telecom Trends report. Explore 11 key industry shifts, from 5G Advanced to AI integration, offering opportunities and strategies for success.Toshiba TH58LKT3V46BA8S (KIOXIA/Western Digital Corp. FXZ0_1T die) 162-Layer 3D NAND Flash Memory Advanced Memory Essentials
This is an Advanced Memory Essentials (AME) summary document of the Toshiba TH58LKT3V46BA8S (KIOXIA/Western Digital Corporation FXZ0_1T die). This sixth-generation 3D flash memory based on the bit cost scalable flash technology (BiCS6) features advanced architecture beyond conventional eight-stagger memory hole array.
Survey Plus Teardown of the Samsung Galaxy A25 5G SM-A256E/DSN Smartphone
The Samsung Galaxy A25 5G is a device from the Galaxy A series which is a line of mid-range smartphones. It has a 6.5" Super AMOLED display with a resolution of 1080 x 2340 pixels and a 120 Hz refresh rate.
Deep Dive Teardown of the Huawei MDC Pro 610 ADAS Controller 3600080-EP50 Automotive
The Huawei MDC Pro 610 ADAS Controller is a smart driving domain controller capable of processing environmental information collected by sensors. It performs fusion, identification, and classification tasks. Additionally, it handles map positioning for path planning and driving decision-making, enabling precise control of the vehicle and autonomous driving.Global Internet Device Installed Base Forecast 2018–2028: A Summary
In 2023 Smart Home devices overtook Smartphones to become the second largest Internet connected device domain after Internet of Things (IoT). This report complements and discusses the recently published Global Connected and IoT device Installed Base forecast report covering the entire universe of Internet connected products including Smartphones, Mobile PC and Tablets, Smart TVs, Game Consoles, Digital Media Streamers, Wireless Speakers, and more.Basic Floorplan Analysis (BFR) of the Silicon Labs EFR53000A0 Die
This report contains detailed information on the Silicon Labs EFR53000A0 die including selected teardown photographs, measurements of vertical and horizontal dimensions of major microstructural features, and cost of die and tested packaged die.
Deep Dive Teardown of the Nokia HMD Global X30 5G TA-1450 Smartphone
The Main Frame in Nokia X30 5G is made of aluminum (41.90g). To make the shape of the Main Frame producer used machine processing anodizing, laser printing, and spot welding. The average weight of used plastic in the Nokia X30 5G main enclosure is 11.5g.Broadcom BCM78900 StrataXGS Tomahawk 5 Ethernet Switch TSMC N5 HPC FinFET Process Digital Floorplan Analysis
The Tomahawk 5 (BCM78900), Broadcom’s first 5 nm merchant switch IC, was shipped in March 2023. It presents a significant enhancement in performance for AI/ML infrastructure, offering 64 channels of 800 Gigabit ethernet in data centers. This equates to a remarkable 51.2 Tb/second of switching capacity on a single chip twice that of the Tomahawk 4 (BCM56990) ethernet switch utilizing TSMC's 7 nm process.Deep Dive Teardown of the Sony WH-1000XM5 YY2954 Headphones
The MediaTek Bluetooth Chip MT2822AA consists of the front-end portion of the Bluetooth 5.2 low-energy transceiver, microphone drivers, accelerometer drivers, possibly several clock generators, clock drivers, a USB transceiver (or an unknown analog interface), a processor and DSP core, power management, and several core memories.Deep Dive Teardown of the Panasonic Toyota Tundra Head Unit 86100-0C381 Automotive
The Toyota Tundra is a pickup truck produced by Toyota, a Japanese manufacturer, within the United States. The Panasonic 86100-0C381 is an advanced infotainment system developed by Panasonic. The is a head control unit part of the infotainment system of the Toyota Tundra.Deep Dive Teardown of the Apple MacBook Pro 14-inch A2918 Laptop
The MacBook Pro A2918 is the newest 14-inch version of Apple’s notebook. It features a change in the thermal design. The previous model came with double fans but this time it was reduced to only a single fan. This redesign changed the shape of the main board and provided quite a lot of additional space on it.Survey Plus Teardown of the Oppo Reno 11 5G PHJ110 Smartphone
The Oppo Reno 11 5G PJH110 is one of the latest Oppo smartphones. This device is one of the latest variants from the Oppo Reno line and a successor to the Oppo Reno 10. The 32 MP front camera on the Oppo Reno 11 5G PJH110 uses Sony’s IMX709 image sensor. Three back cameras are also included.
Unveiling the Future: Key Themes and Takeaways from MWC 2024
Mobile World Congress (MWC) 2024 in Barcelona showcased a myriad of innovations and industry trends, setting the stage for the future of technology. Let's dive into the highlights from each day of this year’s influential event.
Fixed and Wireless Trends
Discover key insights into the 2024 Fixed and Wireless Trends report, including analysis of the telecom ecosystem, anticipated industry trends, major technological advancements, and implications for broadband growth rates.Samsung to be the Pro AI Generative Smartphone Leader in 2024
A new generation of artificial intelligence (AI) powered smartphones has appeared. This generation is set to turbocharge smartphone applications like search, photography, and a host of others. Generative AI will drive these changes as powerful new smartphones with high-end chipsets power these applications.Global Smartphone Monthly Shipments (Sell In), Sales (Sell Through) and Inventory Variance by Vendor: February 2024
TechInsights tracked that global smartphone shipments (sell in) and sales (sell through) grew 8% YoY and 4% YoY in January 2024 respectively, indicating the market has reached bottom and is under the recovery phase. Apple maintained the top place by both shipments and sales.Avago AFEM-8234 Tx Path Film Bulk Acoustic Wave Resonator (FBAR) Filter Process Analysis
This acoustic wave filter (AFF) report contains the results of the process analysis of the Avago FBAR filter die from the transmit path of the AFEM-8234 RF front end module (FEM). This module was removed from the Apple iPhone 15 Pro A2848 5G smartphone. The AFEM-8234 is a Mid/High Band LNA-PA module with integrated duplexers (MHB L-PAMiD).OECD Mobile Broadband Price Benchmarking Q4 2023
The Q4 2023 update of the OECD Mobile Broadband Price Benchmarking service is now available for download and includes over 1,100 mobile broadband tariff plans from more than 100 providers across 38 OECD countries. The OECD Mobile Broadband Price Benchmarking Service uses the OECD 2017 baskets.Canada Smartphone Vendor Marketshare by Operator: Q4 2023
Smartphone shipments in Canada grew by 1% in Q4 2023 compared to the year-ago quarter, with two of the five leading vendors seeing shipments improving year-on-year. Canada is a mature market in which replacement sales make up most smartphone volumes, limiting growth. Nonetheless, Canadian consumers are once again upgrading their smartphones.Tech Giants in the Automotive Industry 2024: Cloud Service Provider Trends
Automakers are increasingly turning to cloud service providers (CSPs) for a wide range of business, operational, and engineering-related capabilities. Several CSPs that provide solutions to companies in the automotive industry, including Amazon, Google, Microsoft, and Oracle, and their relationships with companies in the industry, including customer relationships, are covered in this report.Smartphone Image Sensor Shipments by CIS Model with Specifications: Q4 2023
The global smartphone image sensor market experienced a 12% annualized growth in shipments in Q4 2023. The market volumes recovered with the seasonal demand for high-resolution CIS products and correction of the inventory. GalaxyCore GC02M1 and Samsung S5KJN1 were the most shipped CIS products, together achieving over 200 million units during the quarter.Smartphone Image Sensor Market Share Q4 2023: Sony Semiconductor Leads with Record Revenues
The market for smartphone image sensors rebounded with a revenue growth exceeding 10% in Q4 2023. The correction in the market enabled stocking momentum and combined with seasonal CIS orders for premium smartphones, drove the market revenue in the quarter.Global Bluetooth TWS Shipments by Region: Q4 2023
Apple remains the shipments leader in Bluetooth True Wireless Stereo (TWS) headsets. AirPod Shipments declined YoY in Q4 2023. Overall TWS headsets growth has slowed down YoY. India is driving growth. Geopolitical turbulence, inflation and looming recession affected shipments negatively. The report offers valuable insights to all designers, vendors, operators, distributors, etc working with TWS headsets.Global Bluetooth TWS Shipments, Revenues and ASP by Price Tier by Vendor: Q4 2023
Apple remains the shipment and revenue leader in Bluetooth True Wireless Stereo (TWS) headsets in Q4 2023. Apple's share is being eaten by rivals from India and China. Premium-tier remains as the most valuable but Entry-tier rises to the top in shipments.Global Pro AI Technologies Forecast to 2028
A new generation of AI powered smartphones have appeared. They are set to turbocharge smartphone applications like search, photography, and a host of other applications. Generative AI will drive these changes as powerful new smartphones with high-end chipsets power these applications.Survey Plus Teardown of the Apple iPhone 15 A3089 Smartphone
There are two new chips manufactured by USI used in the design –WiFi6E/Bluetooth 5.3 Module and U2 UWB Module with 7nm process node die provided by TSMC. There are 15 RF Tuners in the RF design of the iPhone 15 A3089, all of them are the same as those found previously in the iPhone15 A3090 and A3092 models analysis.Survey Plus Teardown of the Xiaomi Redmi K70 23113RKC6C Smartphone
The older Redmi K60 is equipped with a 5500 mAhbattery. Charging is done through 67W fast wired charging, and it also supports 30W wireless charging. The newer Redmi K70 has a smaller 5000 mAhbattery capacity, but it utilizes an upgraded fast charging technology, allowing for 120W charging speed.Deep Dive Teardown of the Supermicro CloudDC 1U SuperServer SYS-611C-TN4R Server
The motherboard, although having a different form factor, shares most of its main components with the motherboard from Supermicro’s GPU SuperServerSYS-749GE-TNRT –the previous server computer TechInsights analyzed, most notably the Baseboard Management Controller and the Platform Controller Hub (motherboard chipset).Public Cloud Shipment, Installed Base, and Market Size Forecast Q1 2024: CPUs, Accelerators, and Memory
This workbook provides a forecast on shipments, consumption, installed base, and market value of the processors and accelerators used by public cloud providers (IaaS and PaaS) to deliver their services.China gaining ground in Mobile RF Front End technology despite restrictions
China gaining ground in Mobile RF Front End technology despite restrictions Webinar ReplayWith Q4 2023, Uber Notches Full-Year Profitability. What’s Next?
In a turnaround from its continued net losses, the international ride hail and delivery operator’s aggressive focus on profitability paid off for the full year 2023, particularly in the fourth quarter.
Enterprise and IoT Trends
Unveil the future of telecom with our free download: Explore the 2024 Enterprise and IoT Trends paper, revealing key insights on emerging technologies, challenges, and opportunities shaping the industry.
Intel’s Three-Pronged Recovery Plan
As Pat Gelsinger begins his fourth year as CEO, three projects to revitalize Intel’s fabs, CPUs, and AI accelerators could restore its technology lead, but they won’t reach the market until 2025.United Kingdom – Smartphone Shipments and Marketshare by Model: Q4 2023
The United Kingdom is Western Europe's second largest smartphone market. It delivers high volume and revenue to device makers, component suppliers, and operators. Our extensive report shows the top-50 best-selling smartphone models in the UK in the fourth quarter of 2023. Besides popular Apple and Samsung flagships, which brands and models have made their way into the bestsellers and what is the secret behind their success?Q4 2023 – Vivo: Volumes Grew, Revenues and ASP Declined
Vivo’s smartphone volumes grew but revenues declined in Q4 2023. Its average selling prices (ASPs) also took a sharp downturn. Its search for a stand-out flagship continues. This would be central to a turnaround in its financials. What are its priorities in 2024? Its competitors have greatly pulled ahead of it in the 5G and Foldable segments. Can it now bridge the gap?Deep Dive Teardown of the Apple MacBook Pro 16 Inch A2991 Laptop
Apple continues its design tradition of using an aluminum enclosure fitted to all internal components. The MacBook Pro A2991 is a laptop that features a fan-based cooling system. Between the Apple processor and heat pipe we found a lot of thermal paste. The laptop is equipped with cooling fans that help to dissipate heat from the internal components, including the CPU.Playing Catch-up to Amazon, Walmart Acquires Vizio for $2.3 Billion
In an effort to help its advertising business and better compete against Amazon, Walmart acquired smart TV manufacturer Vizio for $2.3 billion on February 20, 2024, pending regulatory approval. Is this a case of Amazon envy? This report examines the rational behind this acquisition and its impact on the market.Japan Handset Vendor Marketshare by Operator: Q4 2023
Japan handset shipments grew by 9% annually in Q4 2023. As usual, Apple continued to capture top positions through all local Japanese operators including Rakuten Mobile. Our extensive report has tracked the major handset vendors' marketshare by quarter at all major operators in Japan, including NTT Docomo, Softbank and KDDI from Q1 2012, and we started to track Rakuten Mobile, a new MNO launching the services since Q2 2020.Qualcomm SDR875 Converged mmWave-sub6 Transceiver Floorplan Analysis (RFTF)
This report presents a Basic Floorplan Analysis (BFR) of the Qualcomm HG11-13972-2 die found inside Qualcomm SDR875. The SDR875 was extracted from the Samsung SM-S928U1 Galaxy S24 Ultra 5G smartphone.HiSilicon Kirin 9000 and Kirin 9006C Processors – A Comparative Look at Taiwanese and Chinese PoP Packaging Technology Advanced Packaging Quick Look Analysis
This is an Advanced Packaging Quick Look (APQ) summary document comparing the Kirin 9000 and the Kirin 9006C processors, provided as a companion deliverable for APQ-2312-802 projects. In 2020, the US government enacted sanctions on Huawei and HiSilicon, constraining their access to manufacturers and markets outside of China.Apple APL1201 M3 Application Processor TSMC 3nm FinFET HKMG CMOS Process Digital Floorplan Analysis
This report presents a Digital Floorplan Analysis (DFR) of the Apple TMRJ66 die found inside the Apple APL1201 system-in-package (SiP). The Apple APL1201 SiP was extracted from the Apple iMac M3 24-inch display desktop computer (A2874).
Service Provider Trends
Gain insights into the latest telecommunications trends with our Service Provider Trends Full Paper. Download now for strategies to stay ahead in this dynamic industry.
MWC - Redefining Tech:AI-Enabled Mobiles & Samsung's Galaxy Ring
At the 2024 Mobile World Congress, anticipation swirls around the unveiling of groundbreaking innovations set to redefine the tech landscape. Among the predictions, mobile phones with AI capability take center stage, heralding a new era of personalized experiences and seamless integration.
MWC 2024 - Huawei to Reveal Latest Tech and Red Cap Adapter
At the upcoming 2024 Mobile World Congress, Huawei is poised to showcase its latest advancements in mobile technology, leveraging cutting-edge HiSilicon processors and advanced process nodes.Galaxycore GC32E1 1/3.1”, 32MP, 0.70µm Pixel Pitch, Back-Illuminated, CMOS Image Sensor Device Essentials Folder
This device essentials folder (DEF) presents the Galaxycore GC32E1 1/3.1”, 32 MP, 0.70 µm Pixel Pitch, Back-Illuminated, CMOS Image Sensor from the Tecno Spark 20 Front Camera.
China gaining ground in Mobile RF Front End technology despite restrictions
China gaining ground in Mobile RF Front End technology despite restrictions Webinar Despite technological restrictions, the Huawei Mate 60 Pro signifies the resurgence of the Chinese smartphone industry. Huawei and HiSilicon are now challenging theirUSA Handset Vendor Marketshare by Operator: Q4 2023
Handset shipments in the United States declined year-on-year in Q4 2023. Apple led across major carriers, followed by Samsung, which led Android, and then by Motorola, and TCL-Alcatel. Verizon Wireless was the standout operator.
MWC Video Series Part 6: Smartphones & Wearables Outlook
Step into the future of smartphones and wearables with Neil Mawston, Executive Director, Global Wireless Practice, and Yiwen Wu, Associate Research Director at TechInsights, in a discussion on why 2024 is hailed as the Year of the AI Smartphone.India Smartphone Market by Channel by Vendor - Q4 2023
India Smartphone market grew by 28% annually in Q4 2023. Offline channels held a slight upper hand over online in the quarter. ECommerce retailers were the largest channel sub segment. Flipkart and Amazon led the way for smartphone eCommerce retailing in India. How did the other offline smartphone distribution landscape span out? The report provides detailed channel analysis by quarter, for the overall market and by smartphone vendors in India.Advanced Driver Assistance Systems Forecast - Data Tables - February 2024
This Advanced Driver Assistance System (ADAS) market forecast shows strong growth, driven by legislation, NCAP regimes, and an industry push towards more automated driving. Key changes in this update include China emerging as the lead region for LiDAR adoption.ADAS Semiconductor Demand Forecast 2021 to 2030 - Feb 2024
This market forecast highlights the growth opportunities for semiconductors used in Advanced Driver Assistance Systems (ADAS). Key changes include higher than previously forecast ASP erosion.Advanced Driver Assistance Systems Forecast - Presenter - February 2024 - Powertrain, Body, Chassis & Safety
This presentation file for the Advanced Driver Assistance System (ADAS) market forecast shows strong growth, driven by legislation, NCAP regimes, and an industry push towards more automated driving.Advanced Driver Assistance Systems Forecast - Presenter - February 2024 - Autonomous Vehicles Service
This presentation file for the Advanced Driver Assistance System (ADAS) market forecast shows strong growth, driven by legislation, NCAP regimes, and an industry push towards more automated driving. Key changes in this update include China emerging as the lead region for LiDAR adoption.Global Pro AI Technologies Forecast to 2028
A new generation of AI powered smartphones have appeared. They are set to turbocharge smartphone applications like search, photography, and a host of other applications. Generative AI will drive these changes as powerful new smartphones with high-end chipsets power these applications.USA Smartphone Vendor Marketshare by Operator: Q4 2023
Smartphone shipments in the United States were down on annual basis in Q4 2023, though on a much smaller basis than in previous recent quarters. Apple was number one in hardware and software, with Samsung leading in the Android space. Verizon was the market smartphone shipment leader.Chinese OEM Electric Vehicle Strategies: Pace and Price Competition Advantage
Chinese auto makers command the advantage of speed and economies of scale in electric vehicles over their global OEM competitors in their home market. But Tesla is disrupting the market with an aggressive cost reduction strategy, bringing more Chinese EV start-ups into administration. This report looks at recent developments in Chinese OEM electrification strategies.Executive Summary - Telecom Strategies Top Predictions for 2024
The telecommunications value chain is a complex ecosystem buzzing with innovation. Our premise is that this ecosystem is tightly coupled together, with operator direction and end-user requirements acting as powerful forces, weaving together the various players in this dynamic landscape. In 2024, the technology landscape continues to churn with transformative waves even as if faces some strong undercurrents.VALUE SHARE: Global Smartphone Revenue, ASP and Profit by Vendor by Price Tier : Q4 2023
Global smartphone industry wholesale revenue grew 6% YoY thanks to the higher smartphone shipments which increased 7% YoY in Q4 2023, while smartphone shipment ASP marginally went down 1% YoY. Apple maintained leadership with the stabilized revenue share annually.
MWC Video Series Part 5: Automotive Outlook
Explore the evolving landscape of connected cars as Roger Lanctot, Director of Automotive Connected Mobility, and Greg Basich, Associate Director of Global Automotive at TechInsights, discuss the transformative impact of 5G technology.Deep Dive Teardown of the Yale Connect WiFi Bridge
The Yale Connect WiFiBridge AC-R1, in combination with the Yale Access Module (Subsystem), constitutes additional equipment for the Yale ConexisSmart Lock L2 SD-L2000-WH, allow the user to wirelessly connect the door to the Internet or via Bluetooth to a mobile device and open the lock from anywhere while using the Yale Access Mobile App.Deep Dive Teardown of the Sennheiser Momentum True Wireless 2 M3IETW2 Wireless Earbuds
Design wins for the Sennheiser True Wireless 2 M3IETW2 are shared by several suppliers. In each earbud, there is a Qualcomm QCC5121 Bluetooth 5.1/Audio SoC, 16 MB GigaDeviceGD25LQ128D serial NOR Flash Memory, an Ambient Light/Proximity Sensor from Dyna Image, a Capacitive Proximity Controller from Semtech, and MEMS microphones provided by Knowles.Intel Core Meteor Lake Intel4 Transistor Characterization
This report presents key DC electrical characteristics for logic NMOS and PMOS transistors located in the core region of the Intel SRMZ1 Meteor Lake processor CPU Die. The Intel SRMZ1 Meteor Lake processor was extracted from the Acer Swift Go 14 laptop.Automotive Semiconductor and Sensor Demand Forecast
This file contains historical and forecast revenue and unit data for automotive semiconductor and sensor demand driven by vehicle production. Current data show automotive semiconductors and sensors dollar demand reached $68 billion in 2023, growing by 23% for the second consecutive year.USA: Smartphone Shipments & Marketshare by Model: Q4 2023
The United States is the world's most influential smartphone market. It delivers high volume and revenue to device makers, component suppliers and operators. Our extensive report shows the top-50 best-selling smartphone models in the United States in the fourth quarter of 2023.Global Handset Vendor Marketshare for 15 Countries : Q4 2023
Global handset shipments rebounded after nine consecutive quarters of decline, by 5% YoY in Q4 2023, signalling that market shows a sign of stabilization. Several Chinese brands including Tecno, Xiaomi and Huawei drove the shipments growth.Deep Dive Teardown of the Apple MacBook Pro A2992 Notebook
Power Managements are mainly provided by Apple, but also by Renesas. Audio CODEC is CS42L84A from Cirrus Logic. The Audio Amplifier is from Texas Instruments and Goertek. TDK supplied the microphones. The Sensors were provided by various suppliers.Q4 2023: Honor: Number Two in China, Solid Overseas Expansion
Driven by the solid growth in overseas markets, Honor’s global smartphone shipment increased 14% YoY to 16.5 million units this quarter. Despite shipment fall in China, Honor maintained its leadership in the Android campaign in the domestic market, ranking second behind Apple only in Q4 2023.TechInsights for Product Manufacturer
Product Manufacturer Elevate your Product Manufacturer's performance with cutting-edge insights and strategic analysis. Discover how our industry-leading expertise can revolutionize your chip design process and propel you ahead of the competitionGlobal Foldable Display Smartphone Vendor Market Share by REGION: Q4 2023
Samsung was the leader in foldable-display smartphone shipments in Q4 2023, followed by Huawei and Honor. Shipments grew YoY, but Samsung declined heavily. Huawei, Honor and Motorola increased share.Global Foldable Display Smartphone Vendor Market Share by DESIGN TYPE: Q4 2023
Samsung was the leader in foldable-display smartphone shipments in Q4 2023, followed by Huawei and Honor. Shipments grew YoY, but Samsung declined heavily. Huawei, Honor and Motorola increased share.
MWC Video Series Part 4: Mobile Computing Outlook
Join David Watkins and Eric Smith as they present their predictions for mobile computing at Mobile World Congress 2024 in Barcelona.Solidigm 29F02P2BMCQLI 192L 1.33T QLC 3D NAND Flash Process Flow (Full) Analysis
This report provides a Synopsys 3D emulation analysis of the process flow and integration used in the manufacture of the Solidigm 192L QLC 3D NAND Flash technology. The emulation includes SPX output files that can be used as input into other Synopsys models.
Qualcomm SDR875
Unlock the power of mobile communication with Qualcomm's SDR875 transceiver in Samsung Galaxy S24 Ultra. Learn about its innovative features and impact in our preliminary analysis on the TechInsights Platform.Deep Dive Teardown of the Bose 8 Speaker Amplifier from the 2022 Hyundai Tucson
The Bose Premium Series is a high-class audio system. This system is available in the Hyundai Tucson. The Bose Premium system is complete with eight high-performance speakers. Communication between the audio amplifier and the audio elements in the car takes place via one connector.Global Connected and IoT Device Installed Base Forecast 2018–2028
A total of 32 billion IoT and connected devices were deployed worldwide by the end of 2023, and projected to grow by 8% annually to 46 billion IoT devices by the end of 2028. Enterprise IoT continues to account for half the volume albeit declining slowly in relative terms.
MWC Video Series Part 3: Connected Industries Outlook
Gina Luk, Director of Telecom Strategies, and Eric Balossier, Lead Semiconductor Market Analyst, discuss the dedicated focus on connected industries at MWC. Encompassing a broad spectrum from health to hospitality, mining to maritime, aviation to agriculture, they will will explore the industries expected to drive the next wave of transformation through digitalization, automation, speed, sustainability, and safety.Apple iPhone Shipments by Model : Q2 2007 to Q4 2023
Apple global iPhone shipment marginally increased 1% annually during Q4 2023, underperforming overmarket which went up 7% YoY. iPhone 15 Pro Max topped the chart this quarter, followed by iPhone 15 Pro and 15. iPhone 14 Pro Max and iPhone 15 Plus ranked the top 5 list too. This report details global shipments for every iPhone model since its launch through Q4 2023, from the iPhone 2G to the iPhone 15.India Smartphone Vendor and OS Market Share: Q4 2023
India Smartphone market in India grew sharply by 28% annually but declined sequentially by -10% in Q4 2023. Xiaomi topped and was followed by Vivo and Samsung in the second and third positions.ADAS Supply & Fitment Database
The ADAS Supply and Fitment Database details around 11,300 ADAS applications on production vehicles, including Autonomous Cruise Control, Emergency Braking, Pre-Crash Safety, Park Assist, Lane Departure Warning, Blindspot Monitoring, Adaptive Front Lighting and Night Vision, as well as systems currently being developed but not yet deployed.Global Smartphone Vendor Marketshare for 15 Countries : Q4 2023
Global smartphone shipments rebounded after nine consecutive quarters of decline, by 7% YoY in Q4 2023, signalling that market shows a sign of stabilization. Several Chinese brands including Tecno and Huawei drove the shipments growth.Global 5G Smartphone Vendor Market Share by Region: Q4 2023
The fourth quarter saw modest growth, but 2023 was a difficult year for many vendors due to geopolitical situations, recessions and inflation. Annual growth has stagnated to below 10%, which is indicative of the hard times ahead for the 5G market.Telecom Predictions for 2024
TechInsights' Telecom Strategies Group (TSG) predicts that 2024 offers service providers and enterprise users significant new market opportunities as well as major cost savings and some serious challenges.Deep Dive Teardown of the GPD WIN Max 2 2023 G1619-03 Laptop
The GPD WIN MAX 2 is a portable handheld gaming PC that is equipped with the Windows 11 operating system. In addition, the device has all buttons and shape that are needed to play as a game controller. The computer is equipped with all the buttons that game consoles have, including analog triggers, hall sensor sticks, and mappable back buttons for flexible customizations.Automotive Semiconductor Lead Times - Q4 2023
Q4 2023 saw average lead times continue to fall for all automotive semiconductor components. Eight product segments have lead times at pre-pandemic levels under 16 weeks. Only five product segments still have lead times over 16 weeks.Deep Dive Teardown of the Huawei Freebuds 3 Pro T0018C, T0018 Wireless Earbuds
The Huawei Freebuds 3 Pro are wireless binaural stereo earphones. It supports wireless charging, up to 2 W. Battery of charging case has 510 mAhof capacity, and earbuds feature 55 mAh each.Deep Dive Teardown of the TP-LINK Wireless Visual Cat Eye TL-DB635A Smart Doorbell
The TP-LINK Wireless Visual Cat Eye #TL-DB635A is a smart video home doorbell. Using WiFi connectivity, it is capable of streaming audio and video. The producer used a 180-degree field of view through the design of the lens that tilts down.
Inside Huawei's Technological Revolution
Discover the technological strides of Huawei with TechInsights in our deep dive. From the Mate 60 Pro to the Huawei Qingyun L540 laptop, explore groundbreaking devices and pivotal events shaping China's tech landscape.Vendor Share: Global Handset Market by Technology: Q4 2023
Global annual handset shipments showed positive growth, the first in ten quarters, in Q4 2023. Global 5G smartphone shipments showed positive growth, while 4G LTE, 3G and 2G shrank. Apple captured the top spot in 5G while Samsung leads in overall global handset shipments.RF Industry Review Q3 2023: Contracts, Products
Start-up funding and mergers and acquisitions (M&A) activity slowed during the quarter with higher interest rates and regulatory hurdles. A higher than usual proportion of announcements centered on new fab construction and new process technologies including very high frequency acoustic filters, 2 nm complementary metal-oxide-semiconductor (CMOS), and silicon carbide power. Wi-Fi 7, gallium nitride, and non-terrestrial networks were hot topics.CES 2024: The User Experience of Generative AI Assistants
The user experience (UX) of digital assistants was a focus at CES 2024, where various OEMs and suppliers including Mercedes, BMW, Volkswagen, Cerence, TomTom, and Qualcomm presented generative artificial intelligence (AI) digital assistants.
MWC Video Series Part 2: Telecoms Outlook
TechInsights analysts Gina Luk, Director of Telecom Strategies, and Waseem Haider, Principal Analyst in IoT & Enterprise Research, are set to unravel the compelling topics poised to take center stage at MWC 2024.Skyworks Temperature Compensated Surface Acoustic Wave (TC-SAW) Filter Acoustic Wave Filter Process Analysis
This report contains the results of the process analysis of the Skyworks temperature compensated surface acoustic wave resonator (TC-SAW) filter die from the Skyworks SKY50313 RF front-end (RFFE) module.OmniVision ISP from OX03D4C, 1/4” Format, 3.0MP Resolution, 2.1μm Pixel Pitch, Stacked Back-Illuminated CMOS Sensor Advanced Floorplan Analysis
This report presents an Advanced Floorplan Analysis of the ISP die from the OmniVision OX03D4C, 1/4” format, 3.0 MP resolution, 2.1 μm pixel pitch, stacked back-illuminated CMOS Image sensor for automotive applications. This die features a stacked system on chip (SoC) image sensor with HDR, LFM capability and RCCB CFA, 140dB, low power consumption below 500 mW.Samsung S5KHM5SX 1/2.55”, 48MP, 0.70μm Pixel Pitch, Stacked Back-Illuminated, ISOCELL 2.0, Tetrapixel, and Super-PD CMOS Image Sensor Device Essentials Folder
This device essentials folder (DEF) presents the Samsung S5KHM5SX 1/2.55”, 48 MP, 0.70 µm Pixel Pitch, Stacked Back-Illuminated, ISOCELL 2.0, Tetrapixel, and Super-PD CMOS Image Sensor from the Google Pixel 7 Pro Rear Periscope-Telephoto Camera.China: C-V2X Development Update 2024
This report shows the latest development status of cellular vehicle-to-everything (C-V2X) communication technologies in the Chinese market including policy environment, technical standard progress, government-led demonstration pilot zones, and commercial deployment progress.China Smartphone Vendor and OS Marketshare: Q4 2023
China smartphone market dropped -0.3% YoY in Q4 2023 by volume. It is the 11th consecutive quarter that the market posts annual decline and the whole year ended with -6% YoY decline rate. Vendor wise, Apple underperformed the market but regained the top position in China market in Q4. Honor and vivo ranked the second and the third, followed closely by the surging Huawei.Survey Plus Teardown of the Honor 100 MAA-AN00 Smartphone
The Apple Watch Series 9 features a system-in-package (SiP) module that contains a TSMC manufactured integrated fanout package-on-package (InFO-PoP). This configuration has been observed before, but in the S9 the InFO-PoP houses a PMIC die alongside the processor at the bottom of the PoP, in a first for Apple.Apple Watch Series 9 System in Package TSMC InFO-PoP Package Technology Advanced Packaging Quick Look Analysis
The Apple Watch Series 9 features a system-in-package (SiP) module that contains a TSMC manufactured integrated fanout package-on-package (InFO-PoP). This configuration has been observed before, but in the S9 the InFO-PoP houses a PMIC die alongside the processor at the bottom of the PoP, in a first for Apple. Multiple second sourced PoP memory packages were documented during analysis.
Samsung Galaxy S24 Ultra Teardown
Explore the next frontier in mobile technology with Samsung's Galaxy S24 series. Unveiling a powerful blend of Qualcomm Snapdragon 8 Gen 3 and Exynos platforms (in select markets), these flagship smartphones redefine excellence. Immerse yourself in AI-driven features, enhanced displays, and an advanced 50 MP Periscope camera system. Elevate your mobile experience with the Galaxy S24 series - where innovation meets unparalleled performance.
MWC Video Series Part 1: Mobile Semiconductors Outlook
Join TechInsights analysts Gina Luk, Director of Telecom Strategies, and Wayne Lam, Service Director of Mobile Semiconductors, as they delve into the current state of 5G, now approaching the midpoint of its technology cycle.Smartphone On-Device AI Chip Market Share Tracker Q3 2023: Mobile AI Momentum to Propel MediaTek to Market Parity with Qualcomm in 2024
Global smartphone apps processors (AP) with on-device artificial intelligence (AI) increased 17 percent year-over-year in Q3 2023 as the mobile chip industry rebounds from a market slump. Qualcomm's and Apple APs continue to dominate the on-device AI AP rankings in Q3 2023 with MediaTek making significant gains.Automotive Semiconductor Demand Forecast 2021 to 2030 – Q4 2023 Executive Summary
This report summarizes the TechInsights data forecast providing the latest metrics for the size and growth rate of future semiconductor demand across the powertrain, body, chassis, safety, and driver information application domains for the main light-vehicle producing regions.Global Smartphone Vendor and OS Market Share by Region: Q4 2023
Global smartphone shipments rebounded 7% YoY in Q4 2023, largely improved from previous quarters. Normalized inventory level, new product launch cycle during holiday season, as well as the strong recovery in emerging markets combined delivered the solid results.CES 2024: Automotive Suppliers Focus on Centralized Cockpit ECUs and Software-defined Vehicle Solutions
Automotive suppliers in the infotainment and connectivity market segments at CES 2024 focused on a mix of hardware supporting infotainment and safety-critical functions, applications to speed up automotive software development, and connected services.Value Share: Global Handset Vendor ASP and Revenue Share by Region: Q3 2023
Global Wholesale Handset industry revenues grew by 1% annually in Q3 2023. Apple. Samsung and Xiaomi were the top three vendors. Asia Pacific was the largest region both by value and volume in the quarter.Deep Dive Teardown of the Huawei Watch 4 Pro MDS-AL00 Smartwatch
The main frame is made of titanium, which combines features such as high strength and durability with low weight. Titanium is safe for the skin. Cover Glass is made of sapphire glass, making it scratch-resistant. The manufacturer advertised that the Huawei Watch 4 Pro MDS-AL00has 5 ATM-rated resistance level under the ISO 22810:2010 standard.
TDK Solid-State Micro Battery
Explore the future of batteries with TechInsights as we dive deep into the revolutionary TDK battery, showcasing anode-free advancements and dissecting the material composition through SEM views.Sony CXD5610 GNSS Receiver with GF 22FDX eMRAM Process Flow (Full) Analysis
This report provides a Synopsys 3D emulation analysis of the process flow and integration used in the manufacture of the Sony CXD5610 GNSS receiver based on GlobalFoundries 22FDK (22 nm FD-SOI) with embedded MRAM. The emulation includes SPX output files that can be used as input into other Synopsys models.Leading TechInsights' Analysts Going to MWC 2024
Joining the lineup atMWC 2024, TechInsights' premier analysts in Consumer Electronics, Automotive, and Mobile are set to make their mark.
Mobile World Congress 2024: Gateway to Wireless Ecosystem Insights
Unlock the secrets of cutting-edge devices with TechInsights, home to the world's largest teardown library. We pride ourselves on offering unparalleled insights into the inner workings of the latest technology.Automotive Infotainment Semiconductors Q1 2024 – OEM and Aftermarket Growth Drivers
TechInsights’ Automotive Infotainment & Telematics Q1 2024 Semiconductor Market Report provides a forecast outlook for OEM and aftermarket hardware and applications market from 2020 through 2030. The OEM market opportunity for Infotainment & Telematics chipset vendors increased by 13.8% year-over-year (YoY) in revenue terms in 2023 on the back of strong vehicle production growth of over 10% YoY. Growth in the OEM chipset opportunity in 2024 is expected to be just 6% as vehicle production growth falls to less than 1% YoY.
Mobile World Congress Video Series: Previewing MWC 2024
TechInsights is launching a 6-part video series delivering insightful predictions on what we can expect to see across the mobile semiconductor, telecom, connected industries, smartphones and wearables sectors at MWC presented by TechInsights’ leading analysts – Gina Luk, Wayne Lam, Waseem Haider, Eric Balossier, David Watkins, Eric Smith, Greg Basich, Roger Lanctot, Neil Mawston, and Yiwen Wu.Automotive Infotainment Systems Q1 2024 – OEM and Aftermarket Growth Drivers
TechInsights’ Automotive Infotainment & Telematics Q1 2024 System Market Report provides a forecast outlook for the OEM and Aftermarket hardware and feature market from 2020 through 2030. 2022 represented a year of reasonable growth which saw the OEM market opportunity for Infotainment & Telematics system vendors rising by 5.8% YoY in revenue terms.Automotive Infotainment Telematics Systems & Features Q1 2024 Update
TechInsights’ Automotive Infotainment & Telematics Q1 2024 System Market Report provides a forecast outlook for the OEM and aftermarket hardware and feature market from 2020 through 2030. Reasonable growth in 2022 saw the OEM market opportunity for infotainment and telematics system vendors rise by 5.8% year-over-year (YoY) in revenue terms.
Google Pixel 8 Pro Teardown
Discover the inner workings of the Google Pixel 8 Pro with TechInsights' exclusive teardown video.Autonomous Vehicle Start-ups & Small Companies
This database covers the key start-ups and small companies that collectively are playing an important role in the development of autonomous vehicle technology. In the spokesperson section the name is highlighted with a hyperlink in order to be redirected to the person's LinkedIn or personal profile.CES 2024 – Electric Vehicle and Technology Debuts and Announcements
In this report, TechInsights covers the significant debuts and announcements from CES 2024 in the area of electric vehicle (EV) technologies from OEMs and suppliers. Significant trends seen at this year’s show are the growing adoption of electrification in the industrial and construction equipment sector, modular powertrain concepts, and increased availability of solutions addressing the growing demand for 800 V architectures in EVs.Autonomous Vehicle Carmaker Announcements
This database covers all the major carmaker announcements in regards to autonomous vehicles, for both semi- and fully autonomous technologies. Comments are embedded in the "Release Date" column to give further details, e.g., "End of 2023". In this update, TechInsights has included announcements and releases of different ADAS and automated driving platforms by SAE Levels of automation from automakers and Tier 1 developers worldwide.Tablet Apps Processor Market Share Tracker Q3 2023: Return to Growth Likely with New 2024 iPad Models
The global tablet applications processor (AP) market remained flat growth year-on-year in terms of revenue in Q3 2023. Apple iPad Apps Processor commands a 69% market share of overall tablet Apps Processor revneue. x86-based APs, driven by Intel, dropped to 8 percent unit share as tablet PC sales stalls. This report tracks stand-alone and integrated tablet applications processor unit shipments, revenue market share and ASPs for 24 tablet apps processor chip vendors on a quarterly basis, and includes provisional estimates up to Q3 2024.Automotive Sensor Demand Forecast 2021 to 2030
This market forecast for automotive sensors in light-duty vehicles shows that the packaged sensor market is now expected to grow at a CAAGR of 3.7% over 2023 to 2028. This is above the expected vehicle production growth of 1.5%, showing rising sensor content per vehicle. Sensor types covered in this forecast include speed, position, temperature, accelerometer, pressure and gas sensors.资本设备
资本设备 利用对半导体市场创新持续周期独树一帜的技术见解,对竞争对手的芯片设计进行对标测试。 平台用户?登录 开始您的免费试用 您的资本设备解决方案 TechInsights平台用户有机会在一个地方订阅资本设备解决方案和多个附加服务。 电池 图像传感器 物联网连接SoC 逻辑 制造设备 存储 移动RF 功率 半导体执行洞察 附加解决方案 附加解决方案提供补充的特性和功能,增强TechInsights产品或服务的核心功能。 半导体制造经济 半导体增长领域的供应关系和活动数据库ANALYSIS: ROBOTICS: Global Personal and Professional Service Robot Sales and Revenues to 2029
The future of personal service robots is an exciting and rapidly evolving field that holds significant potential for both consumers and businesses. As technology continues to advance, personal service robots are poised to become increasingly sophisticated, functional, and accessible.Survey Plus Teardown of the Xiaomi 14 23127PN0CC Smartphone
The 32 MP front camera on the Xiaomi 14 23127PN0CC uses an OmniVision’s image sensor. Three back cameras are also included. The primary 50 MP wide-angle has an integrated OIS and AF module and a OmniVision image sensor.Apple A2731 Lithium Ion Battery (Apple AirPods Pro 2nd Generation) Battery Essentials
Apple AirPods Pro 2nd Generation, as an important product manufactured by Apple, is powered by NMC-based cathode Li ion batteries, generating 49 mAh capacity (per earbud). The BEF includes a summary of observed device metrics and salient features with supporting images and data.Renesas R7F702301BEBBA-C_BC6 TSMC 28nm Embedded Flash Advanced Memory Essentials
This report provides insight on the structure and materials used in the manufacture of the Renesas R7F702301BEBBA-C_BC6 microcontroller comprising a die fabricated using TSMC 28 nm HPL HKMG CMOS process with embedded flash (eFlasH). The report includes a summary of key findings, and a detailed look at the eFlash array and peripheral structures and materials used. SEM, TEM and materials analysis provide a complete look at how this device was manufactured.SK Hynix Hi-5022Q, 1/2.8″ Format, 50MP Resolution, 0.64μm Pixel Pitch, Stacked Back-Illuminated CMOS Image Sensor Device Essentials Folder
The SK Hynix’s Hi-5022Q is a color, 1/2.8” optical format, high quality 50 MP stacked back-illuminated CMOS image sensor (CIS) with a 0.64 μm pixel pitch for mobile phone camera applications. This CIS was extracted from the 8849 TANK 3 phone rear/front-facing camera.Deep Dive Teardown of the Samsung Galaxy A05 SM-A055F/DS Smartphone
MediaTek and Lansus have the most design wins for the Samsung Galaxy A05. The Application/Baseband Processor and power management with audio CODEC were from MediaTek. MediaTek (MT6769V/CZ) Octa-Core Helio G85 Applications/Baseband Processor also is used in another budget smartphones for the Asia market, like Vivo Y27 (V2249) and Xiaomi Redmi 12 (23053RN02A).Automotive Semiconductor TAM by Sector by Domain
This market forecast report shows that Economy Vehicles are now the fastest growing vehicle sector for automotive semiconductor demand, with a dollar CAAGR of 12% expected over 2023 to 2028. This report now also includes data for semiconductor sensors.Automotive Semiconductor TAM by Powertrain Type by Domain
This market forecast report shows that battery electric vehicles are expected to be the fastest growing vehicle type for automotive semiconductor demand, with a CAAGR of 26% expected over 2023 to 2028.Automotive Semiconductor TAM by OEM Group by Domain
This market forecast report shows that Jianghuai Automotive is expected to be the fastest growing vehicle OEM for automotive semiconductor demand, with a dollar CAAGR of 18% expected over 2023 to 2028. This update has added in unit data alongside the existing dollar data. It also now includes data for semiconductor sensors.East Europe xEV System, Semiconductor and Sensor Demand Forecast 2021 to 2030 - January 2024
This TechInsights data model presents a view of the East Europe outlook for xEV systems and associated semiconductor and sensor demand. Despite the uncertainties and challenges posed by the Ukraine conflict, semiconductor shortages, and a slowing domestic and global economy, the push towards electrification and battery electric vehicles in particular is not slowing down with volume demand continuing to grow.China xEV System, Semiconductor and Sensor Demand Forecast 2021 to 2030 - January 2024
This TechInsights data model presents a view of the China outlook for xEV systems and associated semiconductor and sensor demand. Despite the uncertainties and challenges posed by the Ukraine conflict, semiconductor shortages, and a slowing domestic and global economy, the push towards electrification and battery electric vehicles in particular is not slowing down with volume demand continuing to grow.Brazil xEV System, Semiconductor and Sensor Demand Forecast 2021 to 2030 - January 2024
This TechInsights data model presents a view of the Brazil outlook for xEV systems and associated semiconductor and sensor demand. The market remains at an early stage with a primary emphasis towards hybrid platforms. Deployment of battery electric vehicles remains at an early stage with combined volumes still expected to be lower than for hybrid platforms.Global xEV System, Semiconductor and Sensor Demand Forecast 2021 to 2030 - January 2024
This TechInsights data model presents a view of the Global outlook for xEV systems and associated semiconductor and sensor demand. Despite the uncertainties and challenges posed by the Ukraine conflict, semiconductor shortages, and a slowing domestic and global economy, the push towards electrification and battery electric vehicles, in particular, is not slowing down with volume demand continuing to grow.Infineon CYPD7271-68LQXQ Dual-Port USB-C Power Delivery and DC-DC Controller PMIC Essentials
This report presents a power essentials analysis of the Infineon CYPD7271-68LQXQ that belongs to the CCG7DC family of highly integrated dual-port USB-C power delivery solutions with integrated buck-boost controllers. Near the logic region, two memory blocks, potentially ROM or SRAM, are identified. Additionally, an extra flash memory block is observed, utilizing Infineon's embedded nonvolatile memory technology.
Automotive Video Series Part 4: Post-Show Reflections - Unpacking CES 2024 for Automotive
Hear the analysts reflect on the accuracy of their predictions, their most surprising discoveries, and the implications of all the groundbreaking announcements for the upcoming year.Automotive Semiconductor Demand Forecast 2021 to 2030 - January 2024
This TechInsights data forecast provides the latest metrics identifying the size and growth rate of the future semiconductor demand totals across the Powertrain, Body, Chassis, Safety, and Driver Information application domains for the main light vehicle producing regions: North America, Japan, Europe, Russia, South Korea, China, India, Brazil, Thailand, and rest of the world.Deep Dive Teardown of the Samsung Galaxy S23 FE SM-711B/DS Smartphone
Samsung has the most design wins for the Samsung Galaxy S23 FE with twelve major ICs. Samsung provided the Octa-Core Applications/Baseband Processor developed with 5 nm CMOS technology.
On-Device AI Race to Catch NVIDIA Dominates Computing World
In our full report on computing at CES, we explore the different approaches to AI by industry players, the real-world implications of AI, and other computing products and solutions that surprised us by their presence or lack thereof at the show.Survey Plus Teardown of the Vivo X100 V2309A Smartphone
MediaTek has provided thirteen chips for the Vivo X100 V2309A. It supplied the Dimensity9300 Applications/Baseband Processor, Power Management and Audio CODEC, 6x Power Management, RF Transceiver, WiFi7/Bluetooth SoC, 2x Envelope Power Tracker, and GPS Receiver.Deep Dive Teardown of the Weltmeister Automotive Development Platform SA8155P Automotive
Weltmeister is a Chinese manufacturer of electric SUVs and passenger cars. Formerly known as VM Motor. The proper operation of all subsystems is managed by the SA8155P Automotive Development Platform created by them.Analysis: CES 2024 – On-Device AI Race to Catch NVIDIA Dominates Computing World
TechInsights was on the ground at CES 2024, and AI was the driving theme throughout the week. In this report, we explore the different approaches to AI by industry players, the real-world implications of AI, and other computing products and solutions that surprised us by their presence or lack thereof at the show.CES 2024 Wrap-Up: Bigger, Brighter, Transparent, and More Connected TVs
Like last year, companies at this year’s CES face a number of geopolitical and macroeconomic factors, including worldwide cost-of-living and energy crises, the wars in Ukraine and the Middle East, supply-side challenges, and concerns about the climate. This report highlights some key takeaways from CES 2024 as it relates to the business of connected TVs.Automotive Sensor Demand Forecast 2021 to 2030
This market forecast for automotive sensors in light-duty vehicles shows that the packaged sensor market is now expected to grow at a CAAGR of 3.7% over 2023 to 2028. This is above the expected vehicle production growth of 1.5%, showing rising sensor content per vehicle.Automotive Infotainment & Telematics Semiconductors Q1 2024 Update
TechInsights’ Automotive Infotainment & Telematics Q1 2024 Semiconductor Market Report provides a forecast outlook for OEM and aftermarket hardware and applications market from 2020 through 2030.Appendices - Automotive Electronics System Demand Forecast 2021 to 2030
This market forecast highlights the impact that vehicle architecture change and electrification are having on automotive electronics system demand. This update has a more positive assessment of vehicle production in 2023, but a slightly lower long-term vehicle output picture.ROBOTICS: Personal Service Robot Sales Forecast to 2029
The future of personal service robots is an exciting and rapidly evolving field that holds significant potential for both consumers and businesses. As technology continues to advance, personal service robots are poised to become increasingly sophisticated, functional, and accessible.Main Data Tables - Automotive Electronics System Demand Forecast 2021 to 2030
This market forecast highlights the impact that vehicle architecture change and electrification are having on automotive electronics system demand. This update has a more positive assessment of vehicle production in 2023, but a slightly lower long-term vehicle output picture.Survey Plus Teardown of the Xiaomi Redmi 13C 23106RN0DA Smartphone
Compared to the Redmi 12C, the Redmi 13C comes with a new design. It has a boxy design with a slightly broad form factor to offer a bigger canvas to people for viewing content. There is no prominent camera module at the back and the sensors are directly incorporated, making the rear panel look neat.GigaDevice GDP1BFLM-CB CXMT G3 2Gb DDR3L SDRAM Transistor Characterization
This report presents Key DC characteristics for NMOS and PMOS transistors located in the word line drivers and sense amplifiers regions of the GigaDeviceHUANGSHAN4G die found inside the GDP1BFLM DDR3L SDRAM component extracted from a MiliankeMLK-CA03FPGA development board.eSIM/iSIM Smartphone Sales Forecast by Region to 2028
Global eSIM and iSIM smartphone sales volumes will grow by double-digit percentages YoY in 2023. Propelled by North America today and by India and eventually China in later years, eSIM/iSIM-enabled smartphones will reduce friction for smartphone customers looking to enable their devices.
Pressure Points and Limitations Faced by Huawei
Pressure Points and Limitations Faced by Huawei Mate 60 Pro Mobile RF Report Part II In this Part II, we're continuing the analysis of the RF FE and its key RFIC and modules that began with " Mate 60 Pro mobile RF architecture proves Huawei canCES 2024: Trends in Immersive Automotive User Experience
Immersion and emotional connections with users were a key theme at CES 2024, with Mercedes showing their updates for both MB.UX and announcing MB.OS as well as the Sony Honda Afeela which creates an interior connected to entertainment—be it music, spoken word audio, or games—via artwork, lights, sound, and haptic feedback with the aim to increase emotional attachment.让我们来看看IC市场动态、TechInsights逆向工程团队正在分析哪些器件?
半导体市场规模预计将在未来十年翻一番 让我们来看看IC市场动态、TechInsights逆向工程团队正在分析哪些器件?以及未来器件技术路线图。 创建账户 申请试用 你知道吗? 苹果iPhone 15 Pro的IC芯片仅一个季度就能产生超过11.3万吨的碳排放 IC元器件无处不在,从电动牙刷到汽车,它们的综合碳影响可能是惊人的。 TechInsights BOM数据库碳排放模块为来自半导体晶圆厂、无晶圆厂IC制造商、设备OEM、生命周期分析师及其客户的用户提供关键的IC芯片碳排放计算,以快速比较반도체 제조사나 공급망 관련 회사에서 근무하시나요?
반도체 시장은 향후 10년간 두배로 성장 할 것으로 예상됩니다 IDM 혹은 공급망 기업에서 근무중 이신가요? IC 시장의 현재와 미래, 그리고 디바이스 기술 로드맵을 확인해보세요. 테크인사이츠의 리버스엔지니어링 팀은 어떤 디바이스를 분석할까요? 계정 만들기 Demo 요청하기 알고 계셨나요? 애플의 아이폰 15 Pro는 단 한 분기만에 IC 다이에서 113,000톤 이상의 탄소 배출량을 생성할 수 있습니다. IC 부품은 전동칫솔부터 자동차까지IDM またはそのサプライ チェーンで働いていますか?
半導体市場は今後10年間で倍増すると予測 半導体メーカー、あるいはそのサプライチェーンに従事されいますか? 現在および今後のIC市場について、テックインサイツのリバースエンジニアリングチームが現在分析しているデバイス、及び今後のデバイス技術ロードマップをご確認下さい。 アカウントを作成する デモをリクエストする ご存じですか? Apple iPhone 15 Proは、わずか1四半期でICダイから113,000メトリックトン以上の二酸化炭素を排出します IC部品はElectric Vehicle Motors: More Integration, More Optimization
In September 2023, TechInsights presented at the Automotive eMotor Technology Summit in Berlin. We presented on the potential market for new technology concepts and current developments in motors used in electric vehicles.Preliminary Global Notebook PC Shipments and Market Share: 4Q 2023 Results
Notebook PC shipments grew 5% in the fourth quarter of 2023 versus the same period a year ago, the first time the market has achieved growth since the third quarter of 2021. Notebook shipments totaled 51.9 million units. Improved macroeconomic conditions and demand in regions outside of Asia/Pacific pushed the market to growth.ROBOTICS: Global Professional Service Robot Sales Forecast to 2029
Professional Service Robots are used in a variety of applications at work, in public, in hazardous environments and those are more capable, rugged, and often more expensive than domestic robots.ROBOTICS: Service Robot Revenue and ASP Forecasts to 2029
We forecast that the global revenues of service robots will grow at a CAGR of 31% from 2022 to 2029, with the largest segment by value being professional service robots. We estimate that global total value of service robots will grow over 300% during the next six years.Apple A-Series and M-Series Apps Processor Tracker Q3 2023: iPhone 15 Series Provides Seasonal Boost to Chip Output
Apple's A-series and M-series applications processors (AP) revenue increased 7 percent year-on-year in Q3 2023 due to favorable mix shift towards premium chips.
Chip Observer (January 2024)
TechInsights' Chip Observer, a publication dedicated to monitoring the semiconductor market from production facilities to consumer endpoints.Are you a member of an IDM or involved in its Supply Chain?
Semiconductor Market Expected to Double Over the Next Decade Check out the current and future IC market, What devices are TechInsights' reverse engineering team analyzing? and future device technology roadmaps. Create Account Request a Demo Do youAutomotive Infotainment & Telematics - Semiconductors Q1 2024 Spreadsheet
TechInsights’ Automotive Infotainment & Telematics Q1 2024 Semiconductor Market Report provides a forecast outlook for OEM and aftermarket hardware and applications market from 2020 through 2030. The OEM market opportunity for Infotainment & Telematics chipset vendors increased by 13.8% YoY in revenue terms in 2023 on the back of strong vehicle production growth of over 10% YoY.Automotive Infotainment & Telematics - Systems & Features Q1 2024 Spreadsheet
TechInsights’ Automotive Infotainment & Telematics Q1 2024 System Market Report provides a forecast outlook for the OEM and Aftermarket hardware and feature market from 2020 through 2030.Automotive Infotainment & Telematics - Vendor Market Shares & OEM Features Q1 2024 - Spreadsheet
TechInsights’ Automotive Infotainment & Telematics System and Semiconductor Market Report provides a forecast outlook for the OEM and Aftermarket with detailed feature forecasts as well as vendor market shares for the main suppliers of systems and application specific semiconductors.TechInsights for Product Manufacturer - Mobile Devices
TechInsights for Product Manufacturer - Mobile Devices Leverage unrivaled technical insights about the continuous cycle of innovation in the semiconductor market to benchmark chip design against competitors. Platform Member? Sign In Start your free
Consumer Electronics Video Series Part 4: Post-Show Reflections
Consumer Electronics Video Series Part 4: Post-Show Reflections Unpacking CES® 2024 Join TechInsights Director of Consumer Electronics Research, David Watkins, and the leading analysts who attended CES® 2024, as they reflect on the accuracy of theirTechInsights for Product Manufacturer-Telecom & Network
TechInsights for Product Manufacturer-Telecom & Network Leverage unrivaled technical insights about the continuous cycle of innovation in the semiconductor market to benchmark chip design against competitors. Platform Member? Sign In Start your freeTechInsights for Product Manufacturer-Consumer Electronics
TechInsights Consumer Electronics Leverage unrivaled technical insights about the continuous cycle of innovation in the semiconductor market to benchmark chip design against competitors. Platform Member? Sign In Start your free trial Your ConsumerCES 2024 – Wearable and XR Highlights
CES 2024 brought some 130K visitors and more than 4,000 exhibitors to Las Vegas, being the world’s largest audited in-person tech event. “AI for All” was the main theme of the show this year, with on-device AI or AI on the (network) edge being a specific focus area especially for chip vendors and mobile device manufacturers. In this report, we focus mainly on the wearable devices and XR headsets and applications showcased at the event.Deep Dive Teardown of the Amazon Echo Show 5 H97N6S Smart Display
The third generation from 2023 -Amazon Echo Show 5 H97N6S, just like its first version from 2019 - Amazon Echo Show 5 H23K37 provides smart display solutions suitable for home and office applications. Both devices were equipped with 5.5-inc TFT-LCD Display with Touchscreen of 960x480 pixels resolution with capacitive touchscreen.Deep Dive Teardown of the Bosch Multi Purpose Camera 3 L8B2-14F404 Automotive Camera
Several manufacturers share design wins in the Bosch Multi-Purpose Camera 3 Camera. Renesas' R-Car V3H SoC, supported by a 32-bit microcontroller powers the core processing. RAM memory was provided by Samsung.Broadcom BCM67263 Wi-Fi 7 Access Point SoC Floorplan Analysis (IoTB)
This is a Basic Floorplan Analysis (BFR) of the Broadcom BCM6717 RF transceiver die found inside Broadcom BCM67263 and BCM6726 components. Both Broadcom BCM67263 and BCM6726 components are 4x4 IEEE 802.11be Wi-Fi 7 MAC/PHY/radio system-on-a-chip (SoC) devices with Multi-Link Operation (MLO) in addition to multi-user technologies such as OFDMA (downlink and uplink) and MU-MIMO (downlink and uplink).Unknown Manufacturer S111341A High-band/Mid-band Front End Module Packaging Analysis (RFPK)
The S111341A high-band/mid-band front end module was found in Huawei's Mate 60 Pro mobile handset, which also features SMIC’s first 7nm device. The module contains eight active dies and 65 other passive devices.TechInsights for Mobile Carriers
TechInsights Mobile Carriers covers various aspects such as network infrastructure, emerging technologies, spectrum management, and business strategies of mobile carriers.TechInsights for Product Manufacturer-Connected Computing
TechInsights for Connected Computing Leverage unrivaled technical insights about the continuous cycle of innovation in the semiconductor market to benchmark chip design against competitors. Platform Member? Sign In Start your free trial YourGlobal Top-500 Smartphone Shipments, ASP, and Price Band by Model with Specs – Q3 2023
This highly detailed report shows the top-500 best-selling smartphone models globally in terms of their shipments, wholesale ASP, and price band in the third quarter of 2023.CES Show 2024 Report
CES was back in full force this year following a pandemic induced virtual CES in 2021, a reduced event with 45,000 participants in 2022, and a confident return with 115,000 visitors a year ago, this 2024 show boasted more than 130,000 attendees and over 4,000 exhibitors from more than 150 countries and regions, including over 1,200 startups. In this report, we explore the key product and strategic trends in TV display and OS, on-device AI, gaming, VR, and audio.TechInsights for Foundry
Foundry Elevate your foundry's performance with cutting-edge insights and strategic analysis. Discover how our industry-leading expertise can revolutionize your chip design process and propel you ahead of the competition. Explore our comprehensiveApple MacBook Pro M3 14” Sunwoda-Amperex Technology Limited A2519 Laptop Battery Characterization Supplementary Report
The Apple MacBook Pro 14", as an important market-leading laptop computer, is powered by six Sunwoda-ATL battery cells connected in 3S2P configuration. This device was analyzed due to its high interest in Apple products and its unique battery configuration.CES 2024: ADAS and AV Semiconductor Vendor Roundup
CES remains the premier North American trade show to showcases the CASE (Connected, Autonomous, Shared and Electrified) trends in the automotive market. This report highlights the key developments and announcements from semiconductor vendors including Mobileye, NVIDIA and Qualcomm that showcased processing technology, software and AI technology development for Advanced Driver Assist Systems (ADAS) and Autonomous Vehicle (AV) technologies.Overviews of Automaker Semiconductor Strategies
Overview of automotive OEM semiconductor strategies including key relationships, EV and Infotainment initiatives and priorities, ADAS and Autonomous initiatives and priorities. Currently covers 11 vehicle OEMs (adding more in the future) in presentation format.Value Share: Global Smartphone Vendor ASP and Revenue Share by region: Q3 2023
Global smartphone industry revenues marginally grew by 1% in Q3 2023. Apple, Samsung and Xiaomi were the top three vendors and together accounted for 71% of the revenues. Apple was the leading vendor by revenues in all of the six regions tracked.Samsung S24: Age of Galaxy AI
Samsung announced its latest flagship Galaxy S24 series including S24, S24+, and S24 Ultra on Jan 17th, 2024 in San Jose, USA. Upgraded chipsets and AI-integrated software features will help S24 family defend the premium phone market. Can S24 series outsell their predecessors, amid gloomy global economy and intensified competition from other vendors?Embedded WLAN (Wi-Fi) CE Device Global Market Analysis
This report complements and discusses the recently published Global Wi-Fi report focusing on various CE Device products including Smartphones, Mobile PCs and Tablets, Smart TVs, Game Consoles, Digital Media Streamers, Wireless Speakers, and more.Western European Connected TV Market Q3 2023
While the growth of advanced display TVs remains a long-term trend, the current cost-of-living crisis, particularly acute in Western Europe, is limiting its development in Q3 2023, as brands compete on the cheaper end of the market. This report examines the impact of these and other factors on connected TV devices and the TV OS market in Western Europe.Toshiba TH58LKT2V46BA8S 162L 512Gb TLC 3D NAND Memory Floorplan Analysis
This is a Memory Floorplan Analysis (MFR) of the DapuStor FXZ5_512G die found inside the Toshiba TH58LKT2V46BA8S. The TH58LKT2V46BA8S was extracted from the DapuStor HaiShen5 3.2 TB H5300 SSD.Analysis: Smartphone Image Sensor Market Forecast 2024–2029
The global market for smartphone image sensors (CIS) is projected to surpass US$ 20 billion in revenues by CY2029, experiencing growth at a CAGR exceeding 5% in five years. This report provides an analysis of the market data report “Smartphone Image Sensor Market Forecast 2024–2029: Recovery in CIS Demand to Steer Revenue Growth”.NXP SN200 (200VB111) GlobalFoundries 40nm 2Mb eFlash Process Flow Analysis
This report provides an analysis of the process flow and integration used in the manufacture of the NXP SN200 (200VB111) NFC, built in GlobalFoundries 40nm eFlash process technology. The spreadsheet lists the hundreds of process flow steps required in its manufacture.Automotive Semiconductor Supplier and OEM Relationships
Matrix of relationships between Automotive OEMs and semiconductor suppliers based on our research. Covers 16 OEMs and 14 semiconductor suppliers with details on type of relationship if known.Issues Impacting the Semiconductor Industry
Qualitative information about issues that may impact the semiconductor industry / supply chain. Includes macro events, geopolitical, materials, etc.GaN’s Role in Transforming the Power Electronics Landscape
Although at the early stage of market development, GaN is set to take the traditional Si-based power device market by storm over the medium-to-long term, beginning with the low-to-medium voltage application segment.Google Tensor G3 System-on-Chip Samsung Fanout Panel Level Packaging Advanced Packaging Quick Look
The Tensor G3 chipset powers Google’s top of the line mobile handsets, the Pixel 8 family. Manufactured in a package-on-package (PoP) configuration, the Tensor G3 bottom package is paired with a Micron LPDDR5 top package.Smartphone Image Sensor Market Forecast 2024-2029: Recovery in CIS Demand to Steer Revenue Growth
The global market for smartphone image sensors (CIS) is projected to surpass US$ 20 Billion in revenues by CY2029, experiencing growth at a CAGR exceeding 5 percent in five years.China: Cockpit Domain Controller Update – Market Situation, Key Players, and Major Trends
This report focuses on the development and deployment progress of the cockpit computing platform in the Chinese market in the evolution from the separate functional domain to cross-domain integration.Forecast: Public Cloud Processors, Accelerators, and Memory
This Excel spreadsheet contains forecasts for the installed base, value, and shipments of public cloud processors, accelerators, and memory for the next five years. Cloud providers will face a growing need for processors to keep up with the increasing demand for computational power.Massive AI Growth Overshadows Automotive Gains at Marvell
Prior to the latest Marvell Analyst Summit, the company reported financial results showing significant growth in its enterprise data center business driven by demand for artificial intelligence and cloud-based applications.Automotive Semiconductor Supplier Shares
Automotive semiconductor and sensors market shares for nine device types. The data covers 2015 to 2022 and also 21 suppliers by device type.ANALYSIS: Global 5G and mmWave Smartphone Shipments Forecast by Region to 2024
Global 5G smartphone shipments growth will remain low in 2023 due to economic turbulence. Growth is stagnating due to Chinese softness and geopolitical and economic turbulence. Apple leads vendors with nearly a one third share of all 5G shipments globally, followed by Samsung and Xiaomi.Innovium IVM77310 Teralynx 7 Data Center Ethernet Switch TSMC 16nm FinFET Process Digital Floorplan Analysis
This report provides an analysis of the floorplan design used in the Innovium TERALYNX 7 Data Center Ethernet Switch and includes an executive summary and supporting image sets SEM cross sectional and bevel imaging sets.
Samsung Tops the Global Smartphone Shipments Charts in 2023
Explore the dynamic landscape of the global smartphone market in 2023, where Samsung leads in market share, followed by Apple, and Xiaomi securing the third spot. Discover industry insights, including Transsion's impressive double-digit growth rate, in this comprehensive report.Automotive Semiconductor and Sensor Demand Forecast
History and forecast for automotive semiconductors and sensors demand. Includes data from 2015 to 2030 by domain and device type. Also incudes an xEV powertrain semiconductor demand forecast.
Huge accelerator capacity headlines do not compute
Last year, the public cloud delivered a colossal 3.9 trillion virtual CPU-hours of computing power, equivalent to a single processor hyperthread operating around the clock for 445 million years. Cloud providers used a minimum of 7.5 million processors to deliver this capability, with a market value of $39 billion, according to TechInsights’ Cloud and Datacenter coverage area.Winbond W63AH2NBVABE 25nm LPDDR3 1Gb Memory Floorplan Analysis
This is a Memory Floorplan Analysis (MFR) of the Winbond LPDDR3 SIRIUS D2D3 die with a memory capacity of 1 Gb and a 25 nm generation node. The memory arrays with array peripheral takes about 52% of the die area.Solidigm 29F02P2BMCQLI 192L 1.33Tb QLC 3D NAND Flash Process Flow Analysis
This report provides an analysis of the process flow and integration used in the manufacture of Solidigm 192L QLC 3D NAND Flash.Qualcomm SDR753-001 RF Transceiver Floorplan Analysis (RFTF)
The Qualcomm SDR753 is an RF transceiver found inside the Xiaomi 14 Pro. This Basic Floorplan Analysis concentrated on the essential characteristics of the radio frequency (RF) transceiver.
Handheld Gaming PCs Look to Expand Reach
Discover CES 2024's gaming evolution: handheld PCs, GeForce NOW updates, and insights into the future of gaming. Join the conversation on TechInsights for exclusive analyses and stay ahead in the dynamic gaming landscape.Global Smartphone Installed Base Forecast by Operating Systems for 88 Countries – 2007 to 2028
TechInsights' WSS channel forecasts the growth rate of global smartphone installed base will slow down to 2% YoY in 2024. The factors behind the lowered growth rate include the softened recovery of China and India, the continued geopolitical tensions, and the lasting macroeconomic headwinds through 2023.CXMT CXDBCCCDM-MA LPDDR4X DRAM Memory Floorplan Analysis
The CXDBCCCDM-MA was extracted from the Xiaomi REDMI 12 5G smartphone. This report presents a Memory Floorplan Analysis (MFR) of the CXMT CXDBCCCDM-MA die found inside CXMT CXDBCCCDM-MA package.Skyworks 01413025 (PK7049_04 die) RF Power Tracker Floorplan Analysis (RFEF)
The Skyworks 01413025 is an RF Power Tracker module transceiver discovered inside the Google Pixel 8 Pro. This Basic Floorplan Analysis focused on the Antenna Module's fundamental properties. These included determining the minimum metal pitch, the minimum contacted gate pitch, the total number of metal layers, and the process generation used.Moore Threads Chunxiao GPU TSMC N7 FinFET HKMG CMOS Process Digital Floorplan Analysis
This is a Digital Floorplan Analysis (DFR) of the Moore Threads MT_SUD1_102 die found inside the Moore Threads Chunxiao graphics processing unit (GPU) component. The Chunxiao GPU was removed from a Moore Threads MTT S80 graphics card.Global Smart Speaker and Smart Display Market Insights 3Q 23
This report complements and discusses the recently published Q3 2023 data reports focused on Smart Speakers and Smart DisplaysAnalysis: Global 5G and LTE Handset Revenue and ASP by Vendor – Q3 2023
Global 5G handset industry revenues and shipments continued to stagnate in Q3 2023. Apple remains the leader of 5G shipments and revenues, but Samsung is growing quickly behind Apple. Samsung is the world’s second-largest 5G vendor in terms of shipments and revenues.Deep Dive Teardown of the SK Hynix PE8110 HFS960GEETX070N SSD
SK Hynix had the overall design wins for the SKHynix PE8110 960GB, supplying both RAM and NAND Flash Memory and the SSD Controller. Texas Instruments provided the temperature sensor. The SK Hynix PE8110 SSD features a PCIe 4.0 x4 with NVMe.
Unlocking On-Device AI Marvels at CES 2024
CES 2024 witnessed an AI revolution with NVIDIA, Qualcomm, Intel, and AMD leading the charge.Gpixel GSENSE400BSI, 2.0”, 4.2MP Resolution, 11.2μm Pixel Pitch Back-Illuminated CMOS Image Sensor Device Essentials Folder
The Gpixel GSENSE400BSI is a 2.0”, 4.2 MP resolution, 11.2 µm Pixel Pitch Back-Illuminated CMOS Image Sensor suited for scientific applications.Handset Vendor Market Share for 'Sixty' Countries - Q3 2023
Global handset shipments declined by -1.8% annually in Q3 2023. The "Sixty" counties tracked in this report accouted for 89% of the handset volumes in the quarter. Samsung, Apple and Xiaomi were the top three vendors by consolidated shipments to these markets. While Samsung led in 26 markets, Apple in 11 of them.Smart Speaker and Displays: Vendor Models and Specifications Database Q4 2023
Around 348 smart speaker models have been made available to consumers worldwide since the first Amazon Echo product launched in 2014. More than 121 smart speaker models are currently available in the market that run the Amazon Alexa platform while 59 models have built-in access to Google Assistant.Micron Technology MT30AZZZDDA0TPQS-031_WL.19Q 176L 512Gb TLC 3D NAND Flash Memory Floorplan Analysis
The MT30AZZZDDA0TPQS-031_WL.19Q was extracted from the Honor REA-AN00 smartphone. This report presents a Memory Floorplan Analysis (MFR) of the Micron Technology B47T found inside Micron Technology MT30AZZZDDA0TPQS-031_WL.19Q.Electric Vehicle Charging Infrastructure: Expansion and Charging Rates Grow
Charging infrastructure is growing amid rising demand for electric vehicles, exposing pain points in user experience and implementation. Meanwhile, auto makers are deploying battery technologies and 800 Volt architectures to quicken charging times. This report looks back at the developments in charging infrastructure over the past year.Analysis: Smartphone Fingerprint Sensor Market Share Q3 2023
The global smartphone fingerprint sensor market achieved revenue growth of 8% in Q3 2023. Qualcomm secured the first spot followed by Goodix and Egistec in the quarter. The increase in annual demand for fingerprint-on-display products comprising of optical FP sensors and ultrasonic FP sensors enabled the market to secure growth.Consumer Electronics: Predictions for 2024
As 2023 draws to a close, the consumer electronics team is looking forward to what is in store for the industry next year. This report provides a set of predictions and anticipated developments across a range of industry sectors including smart home, computing, TV and gaming.
CES 2024 Unveils Breakthroughs in EV Range Estimation
Explore the cutting-edge innovations unveiled at CES 2024 addressing the critical challenge of accurate electric vehicle (EV) range predictions. Delve into groundbreaking solutions presented by industry leaders such as HERE, Valeo, TomTom, and Google, each offering unique approaches to enhance on-route battery range calculations and optimize driving experiences.VALUE SHARE: Global 5G and LTE Handset Revenue and ASP by Vendor: Q3 2023
Global 5G handset industry revenues and shipments continued to stagnate in Q3 2023. Apple remains the leader of 5G shipments and revenues, but Samsung is growing quickly behind Apple.
CES 2024 Smart Home Highlights
Explore the cutting-edge smart home trends unveiled at CES 2024, featuring revolutionary technologies like Amazon's Matter Casting and Samsung's SmartThings Energy Management.
CES 2024 TV Highlights: A Glimpse into the Future of Home Entertainment
Explore the future of home entertainment with groundbreaking TV innovations unveiled at CES 2024. From Samsung's Micro LED marvels to LG's transparent OLED-T, discover the latest in display technology and redefine your viewing experience. Stay updated on the next wave of TV innovation.
Kia Unveils Futuristic Vision in Action at CES Mobility Media Day with New Concept Vehicle and Platform Showcase
Kia wowed at CES Mobility Media Day, turning futuristic dreams into reality with a stunning display of a new concept vehicle and platform. The showcase showcased Kia's commitment to pushing the boundaries of automotive innovation, offering a glimpse into the exciting future of mobility.CES 2024 Preview – Infotainment & Telematics
TechInsights' Automotive Infotainment & Telematics CES 2024 pre-show report compiles the relevant exhibitors in the areas of infotainment, telematics, display, and audio technology and components.
CES 2024 – Media Day 2
Explore breakthroughs like Ambient Scientific's DIGANT GPX10, Timekettle X1's translation tech, and Samsung's innovations. Discover cutting-edge battery solutions and stay informed on AI health products, robot assistants, and more.Smartphone Fingerprint Sensor Market Share Q3 2023: Fingerprint-on-display (FoD) Segment Records 80% Share
The global smartphone fingerprint sensor market achieved revenue growth of 8 percent in Q3 2023. Qualcomm secured first spot followed by Goodix and Egistec in the quarter.2022-2023 Regional Car Sharing Market Overview
Over the last two years, car sharing has seen modest growth globally, but this varies by region. Western Europe and Asia are home to many of the largest fleets, consolidations, and growing services. Still, TechInsights forecasts that the regions that will see the most growth over the next 10 years are Middle East and North Africa and Eastern Europe.Global 5G mmWave Smartphone Shipments Forecast by Vendor by Quarter to 2024
5G mmWave is the fast high-frequency connectivity method that is part of the bigger 5G family. We expect the United Stated (US) and Japan to remain main advocates for mmWave in smartphone use.Global 5G Smartphone Shipments Forecast by Vendor by Region by Quarter to 2024
Apple’s share is slowly eroding but it will keep peak position through strong iPhone sales in 2023 and 2024. We forecast Samsung will retain second place globally in 2023 and 2024 followed by Xiaomi taking third position.Market Challenges Upend Three Micromobility Giants
Global micromobility operators Bird Global, Superpedestrian, and Tier Mobility, barely survive a turbulent 2023, resorting to more layoffs, shuttering of operations, and in one case, filing for bankruptcy protection. Meanwhile, Lime bucks the trend with its success.Global Top-500 Smartphone Revenue, Value Share, ASP, and Price Band by Model: Q3 2023
This highly detailed report shows the top-500 best-selling smartphone models globally in terms of their revenue, value share, wholesale ASP, and price band in the third quarter of 2023.TechInsights for Fabless Semiconductor
Fabless Semiconductor TechInsights offers vital fabless semiconductor insights for competitive chip design optimization. Leverage unrivaled technical insights about the continuous cycle of innovation in the semiconductor market to benchmark chipGlobal Top-500 Smartphone Shipments, ASP, and Price Band by Model: Q3 2023
This highly detailed report shows the top-500 best-selling smartphone models globally in terms of their shipments, wholesale ASP, and price band in the third quarter of 2023.CES 2024 Preview - ADAS and Autonomous Vehicle Technologies
TechInsights Autonomous Vehicle Services and ADAS CES 2024 pre-show report compiles the relevant exhibitors in the area of autonomous and ADAS technology and components.Embedded WLAN (Wi-Fi) CE Device Global Market Forecast 2018-2028
The CE device market has changed significantly since the COVID19 pandemic spread across the globe, as consumers prioritize their working from home and entertainment choices.
Automotive Video Series Part 3: Centralized Architectures, Generative AI: Driving Auto Innovation
The discussion revolves around the key areas of innovation, highlighting the role of Qualcomm, Tier 1 suppliers, and the growing influence of Generative AI.North America Notebook PC Vendor & OS Unit & Value Market Share by Country: 3Q 2023 Results
Notebook PC shipments in North America totaled 16.9 million units in the third quarter of 2023, a growth of 3% versus the same period a year ago. K-12 purchases remained strong, however continued weak enterprise demand in the United States kept growth rates subdued.Maxscend Microelectronics Co. Ltd. MXD9122C Diversity Receive Front-End Module Packaging Analysis (RFPK)
The Maxscend MXD9122C is a diversity front-end module removed from the Samsung Galaxy A14 5G mobile handset. It features three dies in a wire bonded land grid array (WB-LGA) package with 24 pins.YMTC YMN0AQF1B1HCAD 232-Layer QLC 3D NAND Flash Memory Floorplan Analysis
The YMN0AQF1B1HCAD 232L QLC 3D NAND Flash Memory is home to the industry's first quad-level cell (QLC) 3DNAND die with over 200 active word lines.
Huawei Qingyun L540 Laptop: HiSilicon 9006C Manufactured by TSMC
Huawei Qingyun L540 Laptop HiSilicon 9006C Manufactured by TSMC Ever since the launch of the Huawei Qingyun L540 laptop, the tech industry has been abuzz with speculation about the origin of the HiSilicon Kirin 9006C processor. Questions lingered—wasRF Die Production Forecast in Cellular User Equipment 2021 - 2027
Cellular user equipment (UE) consisting of smartphones, feature phones, cellular routers, M2M and other non-handset devices, accounts for the highest annual production volumes of radio chips in the semiconductor industry.Global Smartphone User Penetration Forecast by 88 Countries: 2007 - 2028
TechInsights forecasts global smartphone user base will grow 13% from 2023 to 2028. North America and Western Europe will lead in terms of smartphone penetration rate, while Africa Middle East will show the highest growth momentum.Subscription TV Forecast (2015 - 2030)
The Subscription TV Forecast combines TechInsights' outlook for pay TV and subscription VOD (SVOD) into one model. The lines between the two have become increasingly blurred, and more and more consumers are likely to consider these services as alternatives.China Dual Credit 2022 Results
China reported an even stronger result in 2022 than the previous year, with a surplus of 28.9 million CAFC credits and 14.6 million new electric vehicle (NEV) credits.Infineon IAUCN04S7N004ATMA 40V OptiMOS 7 Automotive Si MOSFET Power Essentials
This report presents a Power Essential Analysis of the Infineon IAUCN04S7N004ATMA is OptiMOS™ 7 family power transistor. The IAUCN04S7N004ATMA is a 40 V, single N-channel enhancement-mode silicon-based power MOSFET.Q3 2023: Transsion: Solid Volume and Revenue Growth
Transsion beat market with solid volume and revenue growth in Q3 2023. The Chinese vendor solidified its fifth position by volume in global smartphone market and top position in feature phone domain.Car Sharing Forecasts
This file is an Excel spreadsheet that contains TechInsights' Automotive Connected Mobility service's forecast and related data tables for the global car sharing market. The spreadsheet provides estimates and projections for worldwide B2C car sharing operator fleet sizes, membership totals, and revenue.Car Sharing Consolidates, Adopts More EVs, Scooters, and Subscriptions
There was some consolidation in the car sharing market in 2022 and 2023, and operations that thrived diversified their offerings.France Handset Vendor Marketshare by Operator: Q3 2023
The French handset market in Q3 2023 declined by -12% YoY by shipment basis. Samsung captured the number one positions across all local carriers while Apple stood at the number two positions.European Pay TV Benchmarking (Q3 2023)
European pay TV providers tracked added 30 thousand subscribers in Q3 2023. Sky continues to lead the European pay TV market with 20.84 million subscribers, followed by Vodafone (16.76 million), and Tricolor (14.98 million).2022-23 Global Car Sharing Market Overview
Car sharing recovered slightly in 2022 and 2023 as the threat of COVID-19 and resulting restrictions eased. Growth was fueled by membership and fleet sizes that increased in part through acquisitions.VALUE SHARE: Global Wearables Revenue and ASP by Vendor: Q3 2023
Global wearable revenues fell by 6% in Q3 2023 as macroeconomic weakness and geopolitical uncertainty caused lengthening replacement cycles and shrinking volumes for both smartwatches and fitness bands.Winbond W958D8NBYA5I (MARIACHI Die) 25nm HyperRAM 256Mb Advanced Memory Essentials
This report presents an advanced memory essentials (AME) of the Winbond W958D8NBYA5I (MARIACHI Die) 25 nm HyperRAM 256 Mb.Global Smartphone Monthly Shipments (Sell In), Sales (Sell Through) and Inventory Variance by Vendor: December 2023
TechInsights tracked global smartphone shipments (sell in) and sales (sell through) grew 2% YoY and declined 3% YoY in November 2023 respectively. Apple maintained the top place by both shipments and sales.TechInsights for Capital Equipment
Capital Equipment TechInsights offers predictive process flow analysis for capital equipment, revealing insights into upcoming industry developments from key players. Understanding process steps & feature parameters, combined into a singleVALUE SHARE: Global Fitness Band Revenue and ASP by Vendor by Price Tier: Q3 2023
Global fitness band industry revenues plummeted by 49% in Q2 2023. This marks the fourteenth consecutive quarter of YoY fitness band revenue declines amid macroeconomic weakness and geopolitical uncertainty.VALUE SHARE: Global Smartwatch Revenue and ASP by OS by Price Tier: Q3 2023
Global smartwatch revenues fell by 2% YoY during Q3 2023. A rising ASP partly offset 5% volume declines as consumers reigned in discretionary spending causing a lengthening replacement cycle amid macroeconomic weakness.Global Wearables Shipment Forecast by Type by Vendor by Quarter: 2017 to 2024
TechInsights predicts global wearable shipments will decline by just 1.2% in 2023, and will then rebound to grow 11.9% in 2024, a notable improvement after a 6% decline in 2022 due to steep declines in fitness bands and slowing growth for smartwatches, only partly offset by feature watch and hearables growth.VALUE SHARE: Global Smartwatch Revenue and ASP by Vendor by Price Tier: Q3 2023
Global smartwatch revenues shrunk by 2% in Q3 2023 due to declining shipment volumes caused by slumping consumer demand amid macroeconomic weakness and geopolitical uncertainty. Falling volumes were partly offset by rising ASPs.Global TWS & Banded Headset 88 Country Forecasts to 2028
Bluetooth headsets have become must-have products for many smartphone owners as vendors remove the 3.5 mm plug from their smartphones. China is the biggest market for True Wireless Stereo (TWS) and banded headsets, followed by the United Sates and India. Africa has emerged as one of the fastest-growing regions.Global Smart Speaker and Smart Display Vendor & OS Shipment, Installed Base Share for 13 Countries Q3 2023
This report is updated quarterly and provides country level quarterly smart speaker and smart display shipments and installed base data by vendor and voice operating system for the top 13 markets worldwide.Global Smart Speaker and Smart Display Vendor Shipments Wholesale Revenue ASP and Price Band by Model Q3 2023
38 million smart speakers and smart displays shipped worldwide in Q3 2023, generating $2.4 billion in wholesale revenue. The top 50 best-selling models accounted for almost 90% of total shipments during the quarter, while 20 of the top 50 models were smart displays.Global Smart Speaker and Smart Display Vendor & OS Value Market Share by Region Q3 2023
Global smart speaker and smart display wholesale revenues fell by 3% year-on-year in Q3 2023 to reach $2.4 billion. Meanwhile, average selling prices grew by 5% compared to the previous year quarter as a result of new higher priced model releases from Apple (HomePod 2) and Sonos (Era 100 and Era 300) in previous quarters.
Consumer Electronics Video Series Part 3: Smart Home
Consumer Electronics Video Series Part 3: Smart Home Previewing CES® 2024 Nitesh Patel will provide a glimpse of what smart home trends we can expect to steal the spotlight at CES®. Dive into discussions on interoperability and the transformativeDeep Dive Teardown of the Honor Magic V2 VER-AN10 Smartphone
The Honor Magic V2 VER-AN10 is a foldable smartphone from Honor following the Magic Vs. It was released on July 12, 2023, and runs on MagicOS 7.2 (Based on Android 13). This model (VER-AN10) was made for the Chinese Region.Deep Dive Teardown of the General Motors 22 Cadillac CT4 Lane Departure Assist Camera 85526008 Automotive
Designed by General Motors, the Lane Departure Assist Camera is a part of the advanced driver assistance technology featured on the Cadillac CT4 for detecting the line on the road. This device is equipped with one 1.2 MP camera.Automotive OEM Software System Strategies
Automotive OEMs are developing operating systems (OSs) in-house as well as sourcing software from Tier 1 suppliers, semiconductor vendors, and dedicated software vendors.Deep Dive Teardown of the Huawei Mate 60 Pro+ ALN-AL10 Smartphone
Internally the Mate 60 Pro+ is almost identical to the Pro variant, both utilizing HiSilicon’s Kirin 9000S processor with memory supplied by SK Hynix. Almost all the internal components, including the main board and other substrates are shared between these two phones. Also similar are the cameras, but while having the same functional set, the sensors used in both devices differ.Deep Dive Teardown of the Tecno Phantom V Flip AD11 Smartphone
The Tecno Phantom V Flip AD11 is the first flip version of smartphone from Tecno. It was released on September 24, 2023. The mobile runs on HIOS 13.5 (Based on Android 13). This model (AD11) was made for all regions.Deep Dive Teardown of the Apple iPhone 15 Pro Max A2849 Smartphone
The iPhone 15 Pro Max A2849 is the highest model in the newest generation of Apple’s smartphones. It’s intended for the American market as it’s packed with 5G mmWave antennas and chips.
eBook - The Elusive Solid-State Battery: Is the Yoshino Technologies Power Station Battery Truly Solid-State?
Discover the latest breakthroughs in solid-state battery technology from Yoshino Power Systems and Toyota. Explore the unexpected findings from our analysis of the Yoshino B330SST units, available for download in our comprehensive eBook.Survey Plus Teardown of the Apple iPhone 15 Pro A3104 Smartphone
What's new in iPhone 15 Pro is the use of USB Type C instead of the Lightning connector used in iPhone 14. The data transfer rate over the wire has increased to 10 Gbit/s. To achieve such data transfer speeds, the user will have to buy a cable that supports USB 3.0.Deep Dive Teardown of the Sony Xperia 1 V XQ-DQ54 Smartphone
The Sony Xperia 1 V is the successor to the Sony Xperia 1 IV. Released on July 28, 2023, this smartphone was made for the international market and is based on the Android 13 system.NXP Semiconductors 30V4E0 TSMC 28 nm eFlash Memory Floorplan Analysis
This is a Memory Floorplan Analysis (MFR) of the NXP Semiconductors 30V4E0 eFlash die with TSMC 28 nm HPL technology.iPhone 15 Pro Max Rear LiDAR Camera Process Flow Analysis
The Sony IMX591 LiDAR SPAD sensor from the Apple iPhone 15 Pro/Pro Max LiDAR Camera has basically the same pixel size but has a smaller pixel array and resolution than its predecessor.Deep Dive Teardown of the Lenovo Tab Extreme TB570FU Tablet
The Lenovo Tab Extreme, Apple iPad Pro, and Samsung Galaxy Tab S8 Ultra offer distinct features catering to diverse preferences and needs.Deep Dive Teardown of the Kioxia PM7-R KPM7XRUG3T84 SSD
The SSD is optimized for read-intensive applications. It features 1 DWPD with 100 % Random Write Workload with a Mean Time To Failure (MTTF) of 2.5 million Hours. The SSD has use cases such as data warehousing and Streaming Media Applications.Deep Dive Teardown of the Huawei Mate 60 BRA-AL00 Smartphone
HiSilicon has the most design wins for the Huawei Mate 60 BRA-AL00, supplying 8 of the 27 major designs.Deep Dive Teardown of the Amazon Kindle Scribe C4A6T4 E-book Reader
The Amazon Kindle Scribe is a new device that combines the features of an e-reader and a digital notebook.TechInsights’ Critical Subsystems – Driving Forces Update
Critical Subsystems showed signs of improvement as 3Q23 data came in which upgraded our full year expectations. This report accompanies the full CSUBs Driving Forces update for December 2023.OnMicro OM9902-11 Phase 5N Power Amplifier Mod (102F PA die) Cct Report (RFFC)
OnMicro 102F Power Amplifier die from the OnMicro OM9902-11 Phase 5N Power Amplifier Module found on the Honor 60 Pro (TNA-AN00)Q3 2023: HMD (Nokia): Lowest Smartphone ASP in Past Two Years
In Q3 2023, HMD’s worldwide handset shipment dropped marginally by 1% YoY. Handset ASPs decreased annually for the first time in the past nine quarters, and smartphone ASPs fell to the lowest point in two years in Q3 2023, making it difficult for Nokia to position itself as a premium mid-tier brand.Apple A16 Bionic 4nm Processor Process Flow (Full) Analysis
This report provides a Synopsys 3D emulation analysis of the process flow and integration used in the manufacture of the Apple A16 Bionic SoC, built in TSMC's N4 technology. The emulation includes SPX output files that can be used as input into other Synopsys models.GigaDevice GDP1BFLM DDR3L SDRAM Memory Floorplan Analysis
This is a Memory Floorplan Analysis (MFR) of the GigaDevice HUANGSHAN4G found inside the GigaDevice GDP1FLM DDR3L SDRAM ball grid array package. The GDP1FLM was extracted from the Milianke MLK-CA03 FPGA development board.Survey Plus Teardown of the Apple iPhone 15 A3092 Smartphone
The iPhone 15 A3092 features 64-bit ARM based Apple A16 Bionic Applications Processor APL1W10, which is built on a TSMC 4nm process node and an updated version of A15 Bionic. Additionally, A3092 also comes with Qualcomm’s Baseband Processor SDX70M-000.Deep Dive Teardown of the Samsung Galaxy Tab S9 Ultra SM-X910 Tablet
The Samsung Galaxy Tab S9 Ultra SM-X910 is one of the latest models in Samsung’s flagship Tab S series. The Tab S9 Ultra features a new generation processor (Snapdragon 8 Gen 2), a new Bluetooth standard (5.3), and WiFi6E with extended spectrum like Samsung Galaxy Tab S9+ 5G SM-X816B.
Analyst Predictions for 2024: Bold Predictions for Major Breakthroughs in CPUs, AI, and Processor-Adjacent Technologies
Explore the cutting-edge landscape of the semiconductor market as we approach the end of 2023. Our latest Microprocessor Report provides in-depth insights into the imminent developments within three critical domains—Central Processing Units (CPUs), Artificial Intelligence (AI), and processor-adjacent technologies.AMD EPYC 9654 100-000000789 Genoa Server TSMC N6 FinFET Process Digital Floorplan Analysis
This is a Digital Floorplan Analysis (DFR) of the I/O die with AMD 2021 die markings found inside the AMD EPYC 9654 100-000000789 Genoa Server, which launched on November 10, 2022.Baseband Market Share Tracker Q3 2023
The global cellular baseband processor market grew 2% year-on-year (volume shipments) in Q3 2023 as the industry finally enters recovery territory. Major baseband vendors are now looking to grow non-handset growth opportunities as smartphone volumes stagnate.Murata SS3616043 mmWave Antenna Module Transceiver Floorplan Analysis (RFTF)
The Murata SS3616043 is a millimeter-wave antenna module transceiver discovered in the Google Pixel 8 Pro. This Basic Floorplan Analysis focused on examining the fundamental characteristics of the antenna module. These included determining the minimum metal pitch, the minimum contacted gate pitch, the total number of metal layers, and the specific process technology used.Connected TV Devices Q3 2023 Market Update
This report complements and discusses the recently published Q3 2023 data reports focused on Smart TV, Game Console, Digital Media Streamer, and Smart Blu-ray Player devices.Toshiba TH58LKT3V46BA8S 162L 1Tb TLC 3D NAND Memory Floorplan Analysis
The KIOXIA/Western Digital 162-Layer (BiCS6) 3D NAND was developed in 2021. Significant differences with 112-Layer technology include lateral scaling advancement and circuit under array CMOS placement. This report presents a Memory Floorplan Analysis of the KIOXIA FXZ0_1T die found inside the Toshiba TH58LKT3V46BA8S package. The TH58LKT3V46BA8S was extracted from the DapuStor HaiShen5 7.68 TB H5100 SSD.Survey Plus Teardown of the Samsung Galaxy A05s SM-A057F/DS Smartphone
The Samsung Galaxy A05s SM-A057F saved on the Application/Baseband processor, using the Snapdragon 680, which is over $47 less than other application/baseband processors on average. Even though this is a Survey Plus Teardown, which does not include mechanical costs for the phone or the subsystem, it is unlikely the Galaxy A05s total subsystem prices exceed $146 to match the average total price of other mobile phones.Deep Dive Teardown of the Fibocom FG650-CN Wireless Module
The FibocomFG650-CN is an IoT module that supports 5G connectivity in two network architectures: 5G independent networking (SA) and 5G non-standalone networking (NSA). It also supports LTE and WCDMA communications. This module is specially designed for China.Deep Dive Teardown of the Amazon Fire TV Soundbar EVG487 Smart Speaker
Several manufacturers share design wins in the Amazon Fire TV Soundbar EVG487. The audio Processor with memory was supplied by SunPlus, Texas Instruments supplied the 23 W Stereo Class-D audio amplifiers, 1 A Synchronous Buck Converter, and 3 A Step-Down DC-DC Converter. ESMT provided the EN25QH32B 4 MB serial flash memory.China: Smartphone Shipments & Marketshare by Model: Q3 2023
China is the world's largest smartphone market. It delivers high volume and revenue to device makers, component suppliers and operators. Our extensive report shows the top-100 best-selling smartphone models in China in the third quarter of 2023.Samsung K9DYGY8J5B-CCK0 236-Layers 3D NAND Flash Memory Floorplan Analysis
Ten months after Samsung’s 236-Layer (V8) TLC Vertical NAND (V-NAND) mass production milestone in November 2022, the 4 TB SSD 990 PRO is announced, in September 2023. This report presents a Memory Floorplan Analysis of the Samsung K9AKGD8J0B die found inside the Samsung K9DYGY8J5B-CCK0 package. The K9DYGY8J5B-CCK0 was extracted from the Samsung MZ-V9P4T0 990 PRO 4 TB SSD.Deep Dive Teardown of the Google Pixel 8 Pro GC3VE Smartphone
The Google Pixel 8 Pro launched in 2023. It was announced on October 4, 2023, and was available on October 12, 2023. The GC3VE model is suitable for European and Indian markets. The Google Pixel 8 Pro has several improvements regarding its cameras compared to its predecessor.Deep Dive Teardown of the Kioxia XD6 KXD6CRJJ3T84 SSD
The memory: non-volatile, logic, substrates and memory: volatile category had the greatest impact on the total estimated constructions cost. The cost of each category consists of: -memory: non-volatile ($190.74 –8x 512 GB 3D TLC NAND Flash), -logic ($12.04 –NVMeSSD controller), -substrates ($5.29 –main board), -memory: volatile ($6.54 –2 GB LPDDR4 SDRAM).Autonomous Vehicles and Sensors Market Scenarios Comparison
This annual update to TechInsights Autonomous Vehicles and Sensors Market Scenarios sees a boost for the expected penetration of L3 vehicles, but a small delay to the predicted adoption of fully autonomous L4 solutions.
TechInsights Forecasts that Consumer Electronics Industry Revenues Will Exceed One Trillion Dollars in 2024
TechInsights forecasts a historic moment for the Consumer Electronics industry, projecting it to exceed one trillion dollars in revenues for the first time in 2024. This blog post offers a concise overview of the key insights and trends shaping this groundbreaking forecast.
Automotive Video Series Part 2: LiDAR's Last Hurrah, 2024 Market Shakeup & Apple's EV Power Play
Renowned automotive analysts Asif Anwar and Mark Fitzgerald take center stage to discuss the imminent transformation of LiDAR technology and unveil Apple's pioneering strides in the electric vehicle (EV) realm.3Q 2023 Smartphone AP Market Tracker
The global smartphone applications processor (AP) market gained 2% year-on-year in unit growth during Q3 2023 hinting at the anticipated industry recovery. The smartphone market received a seasonal boost from the latest Apple iPhone 15 series release.Consumer Electronics Industry Revenues to Exceed $1 Trillion in 2024
Global consumer electronics market revenues are set to break through the one trillion dollar barrier in 2024. This is a significant milestone for the industry and comes at a time of pivotal change and innovation.OECD Fixed Broadband Price Benchmarking Q3 2023
The Q3 2023 update of the OECD Fixed Broadband Price Benchmarking service is now available for download and includes over 2,600 fixed broadband tariff plans from more than 120 providers across 38 OECD countries.Sony IMX591, 0.01MP Resolution, 10.1μm Pixel Pitch, Stacked Back-Illuminated Direct-Time of Flight (d-ToF) SPAD Sensor (iPhone 15 Pro Max LIDAR) Device Essentials Plus
This is a Device Essentials Plus (DEP) analysis of the IMX591, 0.01 MP resolution, 10.1 µm pixel pitch, stacked back-illuminated direct-time of flight (d-ToF) SPAD sensor from Apple iPhone 15 Pro/Pro Max LiDAR camera.Qorvo QM35725 UWB SoC (D1QM3572003 die) Floorplan Analysis (IoTB)
The Qorvo QM35725 is an Ultra-Wideband (UWB) SoC that has been found in Google GC3VE (Pixel 8 Pro).Sony ISP from IMX591, 10.1μm Pixel Pitch, 0.01MP, Stacked Back-Illuminated Direct-Time of Flight (d-ToF) SPAD Sensor Advanced Floorplan Analysis
The Sony IMX591 is a Time-of-Flight (ToF) sensor used in the LiDAR scanner of the iPhone 15 Pro and Pro Max. The sensor has a resolution of 0.01 megapixels and a pixel pitch of 10.1 microns. The IMX591 die incorporates inverted pyramid structures on the rear side of the CIS active Si, as well as tungsten (W)-filled full depth back deep trench isolation (B-DTI) and composite metal grid (CMG).UX Benchmark: 23MY Range Rover Sport
TechInsights conducted an evaluation of the infotainment system in the 23MY Range Rover Sport. Land Rover have been striving to add a touch of modernity to their luxury SUV brand image.Deep Dive Teardown of the Roku Streaming Stick 4K+ 2821R2 Streaming Media Stick
Several manufacturers share design Wins for the Roku Streaming Stick 4K+ 3821R2. The main SoC came from Realtek. For this device, Samsung supplied 1 GB LPDDR4 SDRAM. Whereas NAND memory comes from Kioxia and offers 4 GB MLC NAND Flash. Connectivity is supported by Realtek WiFi/BT transceivers.Maxscend MXD9122C 5G NR RxD FEM IPD Band Pass Filter Die Process Analysis
This report contains the results of the process and circuit analysis of the integrated passive device (IPD) filter die from the Maxscend MXD9122C 5G NR diversity receive (RxD) front end module (FEM). Maxscend Microelectronics Company Limited operates as an electrical component manufacturing company.Navitas Semiconductor NV6245C 650V 275mΩ E-Mode GaN-on-Silicon HEMT Power Essentials Summary
This report presents a Power Essentials analysis of the Navitas Semiconductor NV6245C device found in the Baseus 130 W GaN5 Pro Charger. NV6245C, as one of the initial family of GaNSense half-bridge ICs, includes two GaN power high electron mobility transistors (HEMTs) dies and two silicon (Si) gate driver dies.Renesas R7F702300BFABA-C TSMC 28 nm eFlash Memory Floorplan Analysis
This is a Memory Floorplan Analysis (MFR) of the TSMC R7F702300B die with a memory capacity of 16 MB and 28 nm HPL technology. Within the eFlash macro, memory sub-arrays take about 69% of the area, while memory array with array peripherals covers about 84% of the macro space.UK Handset Vendor Marketshare by Operator: Q3 2023
We estimate 4.9 million handsets were shipped in the UK in Q3 2023, dipping by -9% YoY. Apple maintained its position as the number one handset vendor across all four major UK operators during the quarter, largely boosted by the strong demand of the iPhone 14 / 15 series.Deep Dive Teardown of the One-Notebook OneXPlayer 2 Pro Handheld Game Console
The OneXPlayer2 Pro is a portable game console that is equipped with the Windows 11 operating system, offering great functionalities like those found in tablets or laptops.Intel SRMJF Xeon CPU Max 9462 Processor with Intel EMIB and Samsung HBM2e Technology Advanced Packaging Quick Look
This Advanced Packaging Quick Look (APQ) for the Intel Xeon CPU Max 9462 processor, provided as a companion deliverable for APQ-2308-801 projects.Global Wearables Microvendor Market Share: Q3 2023
A huge number of second-tier MICROVENDORS are playing a meaningful role in the global wearables market, flooding the market with new, niche, and segmented offerings.Global Cellular Smartwatch Vendor Market Share: Q1 2017 to Q3 2023
Global cellular smartwatch shipments grew 4% YoY in the third quarter of 2023 despite a modest total smartwatch market decline, as cellular penetration rose due to the Apple Watch Ultra and Google Pixel Watch.Unknown Manufacturer S111131C 2+66 Surface Acoustic Wave (SAW) Filter Process Analysis
This report contains the results of the process analysis of the unknown manufacturer surface acoustic wave resonator (SAW) filter die S111131C 2+66 from the S111131C RF front-end (RFFE) module.Deep Dive Teardown of the Google Pixel Watch 2 LTE GC3G8 Smartwatch
The Google Pixel Watch 2 LTE GC3G8 is the improved successor to the Google Pixel Watch GWT9R. The latest Google smartwatch is available in two variants 4G LTE + Bluetooth/WiFi or Bluetooth/WiFi. The Google Pixel Watch 2 LTE GC3G8 is based on Wear OS 4.0, like its predecessor GWT9R.
Apple Watch Metal-Can Battery
Dive deep into the heart of your Apple Watch with our exclusive webinar, "Understanding the Apple Watch Metal Can Battery: Design, Characterization, and Cycle Life," featuring TechInsights' Subject Matter Expert, Ali Khazaeli.
Consumer Electronics Video Series Part 2: Connected Entertainment
Will the Smart TV operating system arena see the emergence of new entrants? What new OEM/streaming platform partnerships will emerge, and which companies will be best placed for growth in 2024?Desay A2663 Metal Can Battery (Apple Watch Series 7 41mm) Battery Cycle Life
The smaller version of the Apple Watch Series 7 uses a battery encased in metal instead of in a black foil pouch, as is typical for lithium-ion batteries. By using a metal casing, the battery can be sized to optimize the available space in the electronic device without the need for spacing between the battery housing and other components within the device.Unknown Manufacturer 0957EFW Lithium Ion Battery (Huawei Watch Buds) Battery Essentials
Huawei Watch Buds is a smartwatch combined with earbuds, which are housed under the screen. Each earbud has a 30 mAh battery that can run for up to 4 hours of audio playback (or 2.5 hours of phone call).
Automotive Video Series Part 1: Autonomy Refined and Augmented Reality Redefines the Drive
Explore the future of automotive tech with TechInsights' analysts Ian Riches and Claudia Krehl as they unveil CES 2024 predictions.LTE / LTE-Advanced Handset Vendor Shipments by Country : Q3 2023
LTE / LTE-Advanced Handset Vendor Shipments by Country : Q3 2023 Share This Post LTE-Advanced handset market has continued to show a descending curve as more 5G smartphones are shipped to major countries since last year. 5G dynamics haveMediaTek MT6107O Antenna-In-Package Packaging Analysis (RFPK)
The MediaTek MT6107O is an antenna-in-package (AiP) device removed from the Motorola Edge 2022 mobile handset. The package comprises 16 radiators across four antennas, all integrated within the base printed wiring board (PWB) of the package.Avago AFEM-8240 RF Front End (RFFE) Module IFNA Film Bulk Acoustic Wave Resonator (FBAR) Filter Process Analysis
This report contains the results of the process analysis of the AVAGO IFNA FBAR filter die from the Avago AFEM-8240 ultra high-band (UHB) RF front end module (FEM) package. The AFEM-8240 UHB FEM was removed from the iPhone 14 Pro Max A2651. The AFEM-8240 runs in UHB spectrum, also known as the 5G mid bands (i.e., n42, n48, n77, and n79).Deep Dive Teardown of the Continental High Res 3D Flash LiDAR HFL110TA Laser Rangefinder
Continental HFL110TA is the first LiDAR analyzed by TechInsights that utilized the Flash method. This allows the LiDAR to obtain distances by illuminating all measurement points simultaneously with a laser, like triggering a camera flash, without the need for laser scanning. This technology originates from the American startup Advanced Scientific Concepts (ASC), which was acquired by Continental.Deep Dive Teardown of the HyperX Cloud II Wireless CL002 Headphones
The HyperX Cloud II is a gaming headset equipped with 53mm drivers for delivering immersive audio. It features virtual 7.1 surround sound for enhanced gaming experiences. The headset has a durable aluminum frame and comfortable memory foam ear cushions for extended use.Deep Dive Teardown of the Leapmotor C11 Driving Assist Solution DH-DAE-OADS210-LY-ZERORUN Automotive
Launched in 2021 by the Chinese electric vehicle startup Leapmotor, the Leapmotor C11, is equipped with an array of advanced driver assistance systems (ADAS). Utilizing computer vision, radar, and diverse sensors, these ADAS features enhance safety and comfort for the driver.Tablet Shipments, Revenue, Installed Base, and Penetration Forecast by Form Factor by 88 Countries 2010-2028: 4Q 23 Update
The basic slate is still a favorite device for casual, lean-back activities such as watching videos, gaming, and social media; Detachable 2-in-1s have evolved into high performance PC replacements as versatility and mobility serves enterprise demand, prosumer demand, and now broader appeal to consumers with affordable, powerful Android and iPadOS options.Global Fitness Band Vendor Market Share by Region: Q3 2023
Global Fitness Band shipments plummeted by over 40% YoY for the second consecutive quarter during Q3 2023. Slumping demand for the market leading Xiaomi Mi Band line as well as a market exit from Samsung, in addition to macroeconomic weakness, geopolitical uncertainty, and continued high inflation stifling consumer discretionary spending contributed to the downtick.Deep Dive Teardown of the Blink Wired Floodlight Camera BFM00100UW Smart Home
The Blink Wired Floodlight Camera runs on the Immedia Semi SoC. Infineon’s CYW43438 is responsible for wireless communication through the WiFi/BT antenna. WiFi/BT SoC is supported by 32 MB Flash memory from Windbond.VisionICs VI5300A1 Time of Flight Sensor Package Analysis
This is a package analysis (PKG) of the VisionIC VI5300A1 direct time of flight (dToF) sensor from the Huawei Mate 60 Pro smartphone. The dToF sensor consists of a VCSEL emitter and a single-photon avalanche diode (SPAD) sensor. This package analysis report examines the composition of the dToF sensor, including its lenses, filters, emitter, and SPAD sensor.Double-Digit Decline of Smartphone Sales During China’s 11.11 Online Festival
Smartphone sales posted double-digit annual decline during China’s 11.11 online festive this year, blaming the mixed demand and the competition from other online sales. However, sales of higher-end models were robust and foldable and gaming segments registered growth.onsemi Automotive M3S EliteSiC 1200V SiC Process Flow Full
This report provides a Synopsys 3D-emulation analysis of the process flow and integration used in the manufacture of the NVH4L022N120M3S SiC die from onsemi M3S family. The emulation includes SPX output files that can be used as input into other Synopsys models.OECD Bundled Communication Price Benchmarking service H2 2023 update
The 2023-H2 update of the OECD Bundled Communication Price Benchmarking service is now available for download.SK Hynix 321L TLC 4D NAND Speculative Process Flow Analysis
This report presents a Memory Process Flow Analysis on the futuristic SK hynix 321-Layer NAND, which is scheduled for mass production from the first half of 2025.HiSilicon Kirin 9000s (SMIC 7nm, n+2) Transistor Characterization Analysis
This report presents key DC electrical characteristics for logic NMOS and PMOS transistors located in the CPU1 region of the HiSilicon Kirin 9000s, Hi36A0 GFCV120 application processor die. The HiSilicon Kirin 9000s application processor was extracted from the Huawei Mate60 Pro Smartphone.Global Digital Media Streamer Vendor Market Share: Q3 2023
Global shipments of streaming media players grew by 4% year-on-year to 17.3 million units during Q3 2023, driven by strong shipments from Amazon and also Apple. Amazon upgraded its 4K devices with superior Wi-Fi technology and Apple continued to make strong strides following the latest Apple TV launched last year at a more affordable price than its predecessors.Connected TV Devices Vendor Market Share: 3Q 2023
Global shipments of TV streaming devices declined by 0.3% year-on-year during Q3 2023 with Game Console growing at +11% while both Smart TVs and Digital Media Streamers were declining at –2% and –1% respectively.
Mate 60 Pro mobile RF architecture proves Huawei can compete with top-tier smartphone OEMs
China's strides in circumventing technological embargoes are evident in the Huawei Mate 60 Pro. TechInsights highlights significant progress not only in the application processor system-on-chip (SoC) but also in the 5G BaseBand processor and mobile RF technologies, showcasing China's resilience in key smartphone technology domains.Japan: Smartphone Shipments & Market Share by Model: Q3 2023
Japan is the world's third largest smartphone market by value. It delivers high revenues to device makers, component suppliers, and operators. Our extensive report shows the top-50 best-selling smartphone models in Japan in the third quarter of 2023. Besides popular Apple, Google, Sharp, and Sony flagships, which brands and models have made their way into the bestsellers and what is the secret behind their success?Connected TV Device Vendor and OS Market Share: Q3 2023 (30 Countries)
Global shipments of TV streaming devices declined by 0.3% year-on-year during Q3 2023 with Game Console growing at +11% while both smart TVs and digital media streamers were declining at –2% and –1% respectively.Digital Media Streamer Vendor Market Share: Q3 2023 (30 Countries)
Global shipments of streaming media players grew by 4% year-on-year to 17.3 million units during Q3 2023, driven by strong shipments from Amazon and Apple. Amazon upgraded its 4K devices with superior Wi-Fi technology and Apple continued to make strong strides following the latest Apple TV launched last year at a more affordable price than its predecessors.
TechInsights Predicts Semiconductor Market to Double in 10 Years
TechInsights forecasts a record-breaking year for the semiconductor industry in 2024, surpassing the peak of 2022. The projection indicates the market will more than double over the next decade, generating over a trillion dollars in revenue.Deep Dive Teardown of the Asus Rog Ally 2023 RC71L Handheld Gaming Console
There are two variants of the Asus Rog Ally series. The primary distinction between the models is in the AMD processor. Asus offers one version of the Rog Ally featuring the standard Ryzen Z1 processor and another equipped with the Ryzen Z1 Extreme processor.Deep Dive Teardown of the Xiaomi Redmi K60 Ultra 23078RKD5C Smartphone
TechInsights found the following ICs for the first time: Multichip Memory - 24 GB Mobile LPDDR5 SDRAM SK Hynix #H58GJ6BK8HX151, Multichip Memory - 1 TB 4D NAND Flash, Memory Controller (UFS 4.0) Samsung #KLUGGARHHD-B0G1, X7 Visual Processor Pixelworks, Inc. PX8768, and RxD Front-End Module Qorvo QM66210.
Consumer Electronics Video Series Part 1: Mobile Computing
Eric Smith presents his insightful predictions concerning the world of mobile computing. He will discuss revelations on new laptops, tablets, the intense silicon rivalry, and groundbreaking developments in product design.China: Automotive Data Monetization – Industry Practices, Opportunities, and Challenges
China: Automotive Data Monetization – Industry Practices, Opportunities, and Challenges Share This Post Automotive data is becoming a new mine to OEMs as cellular connectivity is a standard feature of most OEMs in the Chinese market. DataV2X: A Most Conflicted Chipset
Car companies are facing a daunting choice of connectivity technology. The arrival of cellular-based V2X (C-V2X) technology promises the realization of a long-held industry desire to connect cars with other cars as well as infrastructure.Smartphone Display Panel Market Share Q3 2023: Revenues Down 14% as Panel ASP Continues Decline
The global smartphone display panel market posted a 14 percent year-over-year decrease in revenues in Q3 2023.Global Smartwatch Vendor Market Share by Region: Q3 2023
Global smartwatch shipments declined for the fourth consecutive quarter during Q3 2023, after Q4 2022 marked the first YoY decline since 2016 as the smartwatch space begins to mature and consumers reign in discretionary spending causing a lengthening replacement cycle amid macroeconomic weakness.Global Smartwatch OS Market Share by Region: Q3 2023
Global smartwatch shipments declined for the fourth consecutive quarter during Q3 2023, after Q4 2022 marked the first YoY decline since 2016 as the smartwatch space begins to mature and consumers reign in discretionary spending causing a lengthening replacement cycle amid macroeconomic weakness.
TechInsights' Semiconductor Analytics Report
Weekly Semiconductor Sales Increased 8% the Week Ending Dec 1, 2023Deep Dive Teardown of the Huawei Watch Ultimate CLB-B19 Smartwatch
The Design Wins are shared by several manufacturers. Similar to the Huawei P60 Pro and Mate 60 Pro this device is equipped with MSS Satellite Messagind Chip manufactured by CETC.2023 Connected Services in Car Report
TechInsights undertook a worldwide internet-based survey to investigate consumers’ usage and willingness to pay for connected services in cars. A total of 4,824 car owners across the US (1,735), UK, France, Germany and Italy (1,514 combined), and China (1,575) were surveyed.RF Industry Review Q2 2023: Contracts, New Products
Economic uncertainty and weakness in smartphone sales led Oppo to close its Zeku radio chipset development venture. Start-up funding and M&A activity also slowed during the quarter.Deep Dive Teardown of the Apple iPhone 15 Plus A3094 Smartphone
The iPhone 15 Plus features the 64-bit ARM based Apple’s A16 Bionic APL1W10 - the hexa-core applications processor, combining two Everest high-performance cores with four Sawtooth energy-efficient cores built on a TSMC 4nm process node die (N4P).
TechInsights Launches the BOM Data Carbon Emissions Modules
As TechInsights is the authoritative information source of the semiconductor industry, sustainability is one of our key topics of focus. We continue to develop fact-based data to inform our customers on their sustainability journey.Q3 2023: Lenovo-Motorola: Double-Digit Shipment Growth Outperforming Global Smartphone Market
Lenovo-Motorola’s global smartphone shipments grew 11% YoY in Q3 2023 outperforming the global smartphone market driven by strong performance in its key markets US and Mexico and strong growth in India. India’s Motorola shipments surpassed those of Mexico for third position.2023 Los Angeles International Auto Show – Debuts and Announcements
TechInsights’ coverage of the 2023 Los Angeles International Auto Show includes details of major vehicle debuts and announcements, including the 2025 Lucid Air Gravity SUV, 2025 Toyota Camry and Crown Signia, and a wide-ranging collaboration between Hyundai and Amazon in areas of enterprise software as well as retail sales and delivery.TechInsights for IDM
Integrated Device Manufacturer TechInsights empowers Integrated Device Manufacturers with leading semiconductor insights and industry analysis for building winning products. Our comprehensive analysis enables IDMs to stay ahead of the curve byDeep Dive Teardown of the Samsung Galaxy Tab S9+ 5G SM-X816B Tablet
The Samsung Galaxy Tab S9+ 5G SM-X816B is one of the latest models in Samsung’s flagship Tab S series. It is the successor to the Samsung Galaxy Tab S8+ 5G (SM-X806B).NXP Semiconductors 30V4E0 Near Field Communication SoC Floorplan Analysis (IoTB)
The Apple iPhone 15 Pro is Apple's flagship smartphone released in September 2023. The analysis in this report is conducted over the NXP Semiconductors 30V4E0 component, extracted from the main PCB of the smartphone. The 30V4E0 is a near field communication SoC, comprising a single RF transceiver die.Analysis: Global TWS Shipments by Region and Price Tier Q3 2023
Apple remains the shipments leader in Bluetooth True Wireless Stereo (TWS) headsets. AirPod Shipments declined YoY in Q3 2023. Apple's share is being eaten by rivals from India and China.Deep Dive Teardown of the Denso Subaru DNNS091 CCU 86201AN69A Automotive
Several manufacturers share design wins for the Denso DNNS091 86201AN69A. Intel provided the application processor and Renesas supplied the microcontroller and supporting power management chip. Qualcomm provided the WiFi/Bluetooth solution.
Leading TechInsights' Analysts Gear Up for CES® 2024
TechInsights is excited to announce that some of our leading analysts specializing in Consumer Electronics, Automotive, and Mobile will be making their way to CES® 2024.
The Chip Insider®– SEMI ITPC and ISPS conferences
It was a historic meeting of companies and governments from around the world where a new level of understanding about our industry’s vital role was achieved by government officials.
eBook: Advancements in Power Semiconductors: GaN, SiC, and Emerging Technologies in a Transforming Market
As the semiconductor market evolves, the demand for power semiconductors and wide bandgap technologies will continue to grow, driving innovation and investment in the industry. Dr. Stephen Russell, TechInsights’ Subject Matter Expert for Power Semiconductor, explores this topic in his latest eBook.
Synopsys Joins RISC-V Bandwagon
Synopsys has added RISC-V to its CPU portfolio with three ARC-V families. Application coverage extends from small low-power functions to 64-bit multicore and multicluster high-performance embedded designs.
Imagination's GPU Adds DirectX 11
Imagination has updated its GPU for chips targeting cloud gaming, raising performance and adding DirectX 11 support.
SambaNova Releases Fourth-Gen Chip
SambaNova Systems has released its fourth-generation AI processor, the SN40. Employing TSMC’s 5 nm technology, it offers 688 FP16 Tflop/s at an estimated TDP of 600 W.Smart Blu-ray Player Vendor Market
Blu-ray Players have been a declining market since 2015 with global shipments having declined from a peak of 25.6 million units in 2014 to under 7 million units expected in full year 2023. The four main brands remaining in this market are Sony, LG, Panasonic, and Philips, accounting for 74% of global shipments.Smartphone Image Sensor Shipments by CIS Model with Specifications: Q3 2023
The global smartphone image sensor market witnessed a 4% annual decline in shipments in Q3 2023. The slow recovery in volume demand and reduced stocking momentum contributed to the decline. GalaxyCore and Samsung CIS products dominated smartphone CIS model shipments in the quarter.Game Console Vendor and OS Market Share: Q3 2023
Global game console shipments grew by 11% year-on-year to reach 9.1 million units in Q3 2023. Sony displayed Nintendo to claim its leadership position, witnessing highest Q3 shipments ever, thanks to the promotional price correction of PS5, increased sales of third-party games and ad on content performance.Deep Dive Teardown of the Tesla Glovebox SSD 1868248-00-A Solid State Drive
As per the manufacturer's specifications, this Tesla Glovebox SSD drive is designed to store all data utilized in Tesla cars, including arcade games and dashcam footage. It functions as an SSD drive that connects to the vehicle through a USB Type A 3.1 Gen 2 connector, boasting a storage capacity of 1 TB.Deep Dive Teardown of the T-Mobile REVVL 6X 5G TMRV 065G Smartphone
The Revvl 6X 5G follows conventional design for budget phones, in which the cast frame with some co-molded plastic parts has an additional plastic frame latched onto it and has an adhesive surface for attaching the back cover.Deep Dive Teardown of the Quectel BG96MA-128-SGN Wireless Module
Qualcomm has the most design wins for the Quectel BG96 device including the MDM9206 LTE modem, Power Management, and RF Transceiver with GPS. The RF Design is based on solutions from Qorvo with two IC’s – Dual Band 3G Power Amplifier Module and Quad-Band GSM Transmit Module with Antenna Switches.Qorvo PAC22140-T Power SOI-BCD Technology in Smart BMS with Integrated MCU and Cell Balancing PMIC Essentials
This is a power essentials analysis (PEF) of the Qorvo PAC22140-T power management integrated circuit (PMIC). The Qorvo® PAC22140 serves as a Smart Battery Monitoring System (BMS) capable of overseeing Li-Ion, Li-Polymer, and LiFePO4 battery packs ranging from 10-series to 20-series.Global Handset/Smartphone/Feature Phone Sales Forecast for 88 Countries: 2007–2028
TechInsights has slightly lowered handset and smartphone sales forecast numbers from 2023 onwards due to the slower than expected China and India recovery, the continued geo-political tensions, and the continued macroeconomic headwinds across the globe.Global Smartphone Shipments Forecast by Vendor by Region by Quarter
TechInsights has slightly upwards adjusted global smartphone forecast numbers in 2023 and 2024 to factor the better-than-expected Q3 2023 performance, the normalized inventory status, as well as the improved macroeconomic outlook.
TechInsights' Semiconductor Analytics Report
Analog and Power IC sales on track to decrease 5% YoY .
Uncertainty Persists as we Head to 2024
The Chip Insider GraphicsSamsung HP3 0.56 µm Gen. Process Flow Analysis
Process flow analysis of the Samsung S5KHP3SP, 1/1.4”, 200 MP, 0.56 μm Pixel Pitch, Back-Illuminated, ISOCELL Tetra2pixel and Super QPD CMOS Image Sensor. The HP3 CIS uses full depth front deep trench isolation (F-DTI) for pixel isolation, Tetra2pixel (4x4 color filter array), and 2x2 OCL for Super Quad Photo Diode (QPD) phase detection autofocus (PDAF).Survey Plus Teardown of the Apple iPhone Pro Max A3108 Smartphone
There are three cameras on the back: 48 MP Wide-Angle, 12 MP Ultra-Wide-Angle, and 12 MP Telephoto. The LiDAR Scanner is also in the back. On the front there is a 12 MP camera, an IR camera, and a Dot Projector.TechInsights for Fabless Semiconductor
TechInsights for Fabless Semiconductor Leverage unrivaled technical insights about the continuous cycle of innovation in the semiconductor market to benchmark chip design against competitors. Platform Member? Sign In Start your free trial YourElectric Vehicle Batteries: Supply Diversification and Recycling Sought
The War in Ukraine and the inflationary pressure on the global economy have caused volatility to the price of battery materials. Recycling mandates are now appearing for batteries.Smartphone Image Sensor Market Share Q2 2023: Sony Semiconductor Leads the Growth
The global smartphone image sensor market grew annually to cross USD 3 Billion in Q2 2023. The market saw demand for high-end CIS products that aided in the growth.Deep Dive Teardown of the Apple iPhone 15 A3090 Smartphone
The iPhone 15 A3090 is the least expensive iteration of the newest line-up of Apple’s flagship smartphones. This model was designed for the European region.
Automotive Video Series: Previewing CES® 2024
As we step into the exciting world of CES 2024, it’s important to recognize the impact that automotive trends will have on the tech landscape.Analysis: Smartphone Image Sensor Market Share Q3 2023
The smartphone image sensor market registered a 3% decline in revenues in Q3 2023. The effects of inventory correction and slow demand affected the market annually.
Leading TechInsights' Analysts Going to CES® 2024
Joining the lineup at CES® 2024, TechInsights' premier analysts in Consumer Electronics, Automotive, and Mobile are set to make their mark.
Consumer Electronics Video Series: Previewing CES® 2024
Explore the future of cutting-edge consumer electronics with our upcoming video series, "Consumer Electronics Video Series Schedule: Previewing CES® 2024," premiering in December 2023.Smart TV Vendor and OS Market Share: Q3 2023 (30 Countries)
The report provides quarterly shipment and installed base vendor shares globally across the Connected TV Device category of Smart TVs. The report also provides market shares by operating system for Smart TVs.Apple APL1V02 A17 Pro Processor TSMC 3nm FinFET Process Advanced CMOS Essentials
This report provides an analysis of the structure and materials used in the manufacture of Apple A17 Pro (TSMC 3nm).Kyocera–AVX SD18-2535R8UUxx Surface Acoustic Wave (SAW) Duplexer Acoustic Wave Filter Process Analysis
This report contains the results of the process analysis of the Kyocera-AVX SD18-2535R8UUxx.The Kyocera AVX SD18-2535R8UUxx series are SAW duplexers for LTE band 7 (2600 MHz).AMD Navi 33 RDNA3 Digital Floorplan Analysis
This is a Digital Floorplan Analysis (DFR) of the AMD 2V0-163000001-00 die found inside the AMD Navi 33 215-163000057 graphics processor.United Kingdom : Smartphone Shipments & Marketshare by Model: Q3 2023
The United Kingdom is Western Europe's second largest smartphone market. It delivers high volume and revenue to device makers, component suppliers, and operators.Deep Dive Teardown of the Apple iPhone 15 Pro Max A3106 Smartphone
The iPhone 15 Pro is the flagship smartphone in the seventeenth generation of the iPhone, succeeding the iPhone 14 Pro. The seventeenth generation of phones was announced on September 12, 2023, and became available nine days later, on September 22, 2023.Processors for ADAS and Autonomous Driving 2023 Update
Advanced driver assist system (ADAS) features are enabling higher levels of safety and will allow an evolutionary path to greater levels of autonomous driving (AD). Enhancing ADAS capabilities will particularly require greater levels of processor performance and sensor capabilities.Deep Dive Teardown of the Mopar Jeep Compass Audio Amplifier 68551947AD Automotive
Design Wins were divided between several manufacturers. The main microcontroller #UPD70F3336 came from Renesas. The #DSP56371 is a high density 24-bit digital signal processor provided by NXP Semiconductors.USA Smartphone Vendor Marketshare by Operator: Q3 2023
Smartphone shipments in the United States were down on annual basis in Q3 2023, though on a much smaller basis than in previous recent quarters. Apple was number one in hardware and Android led in software, with Samsung leading in the Android space.USA Handset Vendor Marketshare by Operator: Q3 2023
ndset shipments in the United States declined year-on-year in Q3 2023. Apple led across major carriers, followed by Samsung, which led Android, and then by Motorola, and TCL-Alcatel.Xumo Makes its Presence Felt in US Connected TV Market
Xumo, the 50/50 joint venture (JV) between Comcast and Charter Communications, was formed in April 2022 to develop and offer a next-generation streaming platform (i.e., TV operating system) on a variety of first and third-party 4K streaming devices and smart TVs.Autonomous Vehicle Trials Database
This database covers the key companies, government bodies and cities which are trialing autonomous vehicle technologies and infrastructures for further research and development.ADAS Mandate NCAP Tracker
This tracker is a top-level summary of the various mandates and New Car Assessment Program (NCAP) incentives and requirements that are either currently implemented or proposed across the globe.Global Bluetooth TWS Shipments by Region : Q3 2023
Apple remains the shipments leader in Bluetooth True Wireless Stereo (TWS) headsets. AirPod Shipments declined YoY in Q3 2023. Overall TWS headsets growth has slowed down YoY.Global Bluetooth TWS Shipments, Revenues and ASP by Price Tier by Vendor : Q3 2023
Apple remains the shipment and revenue leader in Bluetooth True Wireless Stereo (TWS) headsets in Q3 2023.
Codasip Protects Memory With Cheri
Codasip’s new A730-Cheri RISC-V application CPU is the first commercial implementation of the Cheri fine-grained approach to memory protection that enables omission of a large memory-protection unit
Mobile AI Builds An Installed Base
Deployment of powerful AI hardware establishes an installed base for new software, which is more important than showy generative-AI demos.
Scaling Turns Into More-Than-Moore
Integrated-circuit scaling has enabled semiconductors to become an indispensable part of industry. Moore’s Law and Dennard scaling have developed into design- and system-technology co-optimization to overcome the challenges posed by data centers, AI, and consumer demand.HiSilicon Kirin 9000s (SMIC 7nm, N+2) Process Flow Analysis
This report provides an analysis of the process flow and integration used in the manufacture of the HiSilicon 9000s (Hi36A0 GFCV120) SoC die that powers the latest flagship smartphone, the Mate 60 Pro, released in September 2023, from China-based Huawei, a surprise announcement despite US sanctions.ams OSRAM Mira050, 1/7″ Format, 0.5MP Resolution, 2.79μm Pixel Pitch Stacked Back-Illuminated NIR Enhanced Voltage Domain Global Shutter CMOS Image Sensor Device Essentials Folder
This device essentials folder (DEF) presents the ams OSRAM Mira050, 1/7″ Format, 0.5MP Resolution, 2.79 µm Pixel Pitch Stacked Back-Illuminated NIR Enhanced Voltage Domain Global Shutter CMOS Image Sensor.onsemi AR0823AT, 1/1.8″ Format, 8.3MP Resolution, 2.1μm Pixel Pitch, Stacked Back-Illuminated HDR and LFM CMOS Image Sensor (Hyperlux(TM) Super-Exposure Pixel Sensor) Device Essentials Folder
This report analyzes the onsemi AR0823AT which features a 1/1.8″ format, 8.3 MP resolution, 2.1 µm pixel pitch, stacked back-illuminated HDR and LFM CMOS image sensor for automotive applications.USA: Smartphone Shipments & Marketshare by Model: Q3 2023
The United States is the world's most influential smartphone market. It delivers high volume and revenue to device makers, component suppliers, and operators.Deep Dive Teardown of the Huawei Mate X5 ALT-AL10 Smartphone
The Huawei Mate X5 is a new foldable smartphone from Huawei. It is 14.76 mm thick at the thickest part when folded and 8.82 mm thick when unfolded.Survey Plus Teardown of the Apple iPhone 15 A2846 Smartphone
The iPhone 15 features the 64-bit ARM based Apple’s A16 Bionic APL1W10 - the hexa-core applications processor, combining two Everest high-performance cores with four Sawtooth energy-efficient cores built on a TSMC 4nm process node die (N4P).
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics ReportGlobal Smartphone Monthly Shipments (Sell In), Sales (Sell Through) and Inventory Variance by Vendor: November 2023
TechInsights tracked global smartphone shipments (sell in) and they increased 5% YoY in October 2023 and global smartphone sales (sell through) grew 2% YoY.Japan Handset Vendor Marketshare by Operator : Q3 2023
Japan handset shipments grew by 8% annually in Q3 2023. As usual, Apple continued to capture top positions through all local Japanese operators including Rakuten Mobile.Autonomous Vehicles and Sensors Market Scenarios - Nov 2023
This annual update to the TechInsights Autonomous Vehicles and Sensors Market Scenarios sees a boost for the expected penetration of L3 vehicles, but a small delay to the predicted adoption of fully autonomous L4 solutions.onsemi Automotive M3S EliteSiC 1200V SiC Process Flow Analysis
This report provides an analysis of the process flow and integration used in the manufacture of the onsemi NVH4L022N120M3S Automotive M3S EliteSiC 1200 V 22 mΩ N-Channel SiC Power MOSFET module.
Customer Sentiment Remains Cautious Despite Improving Fundamentals (November Update)
Order activity for semiconductor equipment increased to 64 °F. With the holidays right around the corner, order activity for the Mobile and Consumer segments are increasing.Sunwoda-Amperex Technology Limited A2519 Lithium-Ion Battery (Apple MacBook 14" Pro 2023) Battery Characterization
The Apple MacBook Pro 14", as an important market-leading laptop computer, is powered by six battery cells connected in 3S2P configuration. It is curious how the three battery cell types compare. Recently at TechInsights, the MacBook Pro 14" was characterized for its morphological, structural, and chemical characteristics in a battery essentials report (BEF-2302-801).Shared Mobility Leader Free2Move Strengthens Service with AI Tech, EVs
Stellantis’ car rental, sharing, and subscription service Free2Move is rolling out AI-based technology that detects damage on vehicles in real time.Global Smartwatch Sales Forecast for 88 Countries: 2012 to 2028
Global smartwatch unit sales are expected to grow by 9% in 2024 despite a slowing global economy and geopolitical turbulence. North America, Western Europe, China and developed Asia will be the leading territories to target for volume and value.Deep Dive Teardown of the Apple Watch Ultra 2 A2986 Smartwatch
The Apple Watch Ultra 2 is a minor upgrade over the first-gen Watch Ultra. It features the same titanium case, same set of sensors, and an OLED display with the same resolution but with a much higher peak brightness of 3000 nits – the brightest display ever sold by Apple, and a slightly bigger battery (2,190 Wh vs. 2,105 Wh).2H 2023 Broadband Equipment Update
Broadband World Forum (now part of Network-X) is the most important annual trade show and industry conference for the world-wide broadband equipment and services industry.Q3 2023: OPPO (OnePlus): ASP Growth Offset Volume Decline
OPPO and OnePlus combined posted a 6% YoY decline by smartphone shipment volume in Q3 2023.iPhone 15 Rear Camera (Sony 2-layer) Device Essentials Folder
This device essentials folder (DEF) presents the Sony IMX904, 1/1.56” Format, 48 MP, 1.00 µm Pixel Pitch, Stacked Back-Illuminated (Exmor T - 2 Layer Transistor Pixel) CMOS Image Sensor with Dual Photodiode (OctaPD) from the Apple iPhone 15 wide-angle rear camera.Q3 2023: Honor: Record High Market Share With Overseas Expansion
In Q3 2023, Honor’s smartphone shipment increased by 14% YoY worldwide, driven by the solid growth in overseas market. Its global smartphone volume share broke last quarter’s record and reached a record high level at 5.4%.Periscope Telephoto Camera with Sony 12MP CMOS Image Sensor (iPhone 15 Pro Max) Package Analysis
This report presents a package Analysis summary of the Periscope Telephoto Camera from the Apple iPhone 15 Pro Max Smartphone.Value Share Q3 2023
Global smartphone industry wholesale revenue grew 2% YoY thanks to the higher smartphone wholesale price which increased 2% YoY in Q3 2023, while smartphone shipment volume marginally went down 0.3% YoY.Analysis: Qualcomm Could Shake the PC Industry with New Arm-based Solution
We were invited to Qualcomm’s Snapdragon Summit in Maui this year, where the company revealed its upcoming computing platform, Snapdragon X Elite, powered by the new Oryon CPU.
Made in China: Mobile RF Front-End Design Solutions
Seminar Made in China: Mobile RF Front-End Design Solutions November 21, 2023 10:00 a.m. EST Register Today Details: Made in China: Mobile RF Front-End Design Solutions New at TechInsights: RF IC Packaging, Process and Acoustic Wave Filters forGlobal Foldable Display Smartphone Shipments by Region and Type Q3’2023
Samsung was the leader in foldable display smartphone shipments in Q3 2023, followed by Huawei and Motorola. Shipments grew YoY but major markets North America and Western Europe declined heavily ongoing geopolitical and economic turbulence and Samsung's softness.Smartphone Image Sensor Market Share Report Sample
The global smartphone image sensor market grew annually to cross USD 3 Billion in Q2 2023. The market saw demand for high-end CIS products that aided in the growth.
RA8 MCUs Take Performance Lead
Renesas’s RA8 family of MCUs is the first on the market with Arm’s latest Cortex M85 CPU. Performance beats existing MCUs built on the Cortex M7.
Dimensity 9300 Sports Four Cortex-X4s
MediaTek’s Dimensity 9300 shakes up the CPU configuration previously typical of smartphone processors and nearly doubles the AI performance of its predecessor.
Ventana Readies Veyron V2, Dumps V
After discontinuing work on its first design, RISC V startup Ventana is readying its Veyron V2. The company plans to offer it as a 32-CPU chiplet and as a licensable core.
The Chip Insider®– Happenings, Comments, Questions & Answers
How much longer do you think this downturn will last? Actually, the downturn has been over for some time. It just doesn't feel that way, because Perceptions lag market turns by 6 to 12 months ... call it recognition latency.
Automotive Semiconductor Market Outlook: Trends, Challenges, and Opportunities
Listen to Asif Anwar’s recent presentation he delivered during EdiCon. In this 30-minute presentation he covers: Automotive Market Outlook, Automotive Semiconductor Outlook, Impact of Macroeconomic Trends.Innoscience INN650D080BS 650 V 60 mΩ GaN
This report provides an analysis and emulation of the process flow and integration used in the manufacturing of the Innoscience INN650D080BS 650 V 60 mΩ GaN-on-silicon enhancement mode high-electron mobility transistor (HEMT).Deep Dive Teardown of the Garmin Forerunner 965 A04578 Smartwatch
The Garmin Forerunner 965 is the successor of Forerunner 955. Both smartwatches support WiFi, Bluetooth, NFC, and GPS connectivity but not LTE communication.Q3 2023: Realme: Solid ASP Drove Revenue Growth
Realme global smartphone shipments declined -2.2% YoY but grew in strong double digit sequentially +19.5% QoQ in Q3 2023 driven by new launches in Q3 and at tail end of Q2 2023.Global Handset Vendor Marketshare for 15 Countries : Q3 2023
Global handset shipments declined by -2% annually in Q3 2023, signalling that market shows a sign of stabilization after double-digit decline for 6 quarters. Samsung and Apple became no.1 handset vendor in 7 and 5 countries each while Honor became no. 1 in China.Canada Smartphone Vendor Marketshare by Operator: Q3 2023
Smartphone shipments in Canada grew in Q3 2023 compared to the year-ago quarter, with four of the five leading vendors seeing shipments improving year-on-year.Notebook PC Vendor & OS Unit & Value Market Share by Region: 3Q 2023
Notebook PC shipments declined just 7% in the third quarter of 2023 versus the same period a year ago, the first time the markets' decline has slowed to single-digit percentage points since the first quarter of 2022. Notebook shipments totaled 51.3 million units.India Smartphone Market by Channel by Vendor - Q3 2023
India Smartphone market declined by -5% but grew 26% sequentially in Q3 2023. Offline channels held a slight upper hand over online in the quarter. Flipkart and Amazon led the way for smartphone eCommerce retailing in India.Smart Home Camera Database - November 2023
This database is updated annually and is intended to be a supplemental data set to the soon to be published TechInsights' November 2023 report "Smart Home Camera Market Analysis and Forecast - November 2023".Micron D1β LPDDR5X DRAM Transistor Characterization Report
This report presents Key DC characteristics for NMOS and PMOS transistors located in the word line drivers and sense amplifiers regions of the Micron Technology Y52P die found inside the Micron Technology MT62F1G64D4AM-031_XT_C LPDDR5 SDRAM component.Deep Dive Teardown of the Apple iPhone 15 Pro A3102 Smartphone
The Apple iPhone 15 Pro device is one out of four flagship phones introduced by Apple in 2023. They were unveiled on September 12, 2023, and became available in the market on September 22, 2023. The Model A3102 is for the European market.Deep Dive Teardown of the Samsung Galaxy Watch6 LTE SM-R945F Smartwatch
Comparing the Samsung Galaxy Watch6 LTE to its predecessor the Samsung Galaxy Watch5 Pro LTE SM-R925F, the latest model has a faster processor and 500MB more RAM capacity (mobile LPDDR4X SDRAM).
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Unveiling the Power of TechInsights’ Component Price Analyzer (CPA) Tool
Join TechInsights experts, Jagrut Patel and Barbara Slabinski, for our latest webinar Unleashing the Powers of TechInsights’ Component Price Analyzer (CPA) Tool.North American Pay TV Provider Benchmarking (3Q 2023)
This database tracks the quarterly performance of the top pay TV providers in North America for the 1Q 2018 to 3Q 2023 time-period.ADAS Semiconductor Demand Forecast 2021 to 2030 - Nov 2023
This market forecast highlights the growth opportunities for semiconductors used in Advanced Driver Assistance Systems (ADAS). Key changes include an acceleration of the demand for blindspot detection systems.
DRAM Leads the Memory Pricing Rebound
The Chip Insider GraphicsApple A17 Pro TSMC InFO-PoP Package Technology Advanced Packaging Quick Look Analysis
This Advanced Packaging Quick Look report (APQ) provides insight on the advanced packaging innovations used in the manufacturing of the Apple A17 mobile handset processor.onsemi NVH4L022N120M3S Automotive M3S EliteSiC 1200V, 22mOhm N-Channel SiC Power MOSFET Power Essentials Analysis
This is a Power Essential Analysis (PEF) of the onsemi NVH4L022N120M3S device of the M3S family. The NVH4L022N120M3S EliteSiC is a 1200 V, single N-channel enhancement-mode silicon carbide-based power MOSFET.Broadcom BCM4398 Wi-Fi 7/BT 5.2 Combo SoC Floorplan Analysis (IoTB)
This report is a Basic Floorplan Analysis (BFR) of the Broadcom BCM4398 die found inside the Universal Scientific Industrial G5-602550 component. The BCM4398 die was manufactured on 300 mm wafers using TSMC's 7 nm HKMG finFET CMOS process.Microsoft Closes $69 Billion Acquisition of Activision Blizzard
Concluding 20 months of an often-contentious approval process with regulators in the US, EU, UK, and New Zealand, including defeating the Federal Trade Commission in a US federal court and restructuring the deal to appease the Competition and Markets Authority (CMA) in the UK, Microsoft closed its acquisition of Activision Blizzard, publisher of Call of Duty, World of Warcraft, and Diablo. This report examines the implications of this merger.Global Smartphone Market Stabilized with 0.3% Annual Decline in Q3 2023
Global smartphone shipments went down -0.3% YoY at 296 million units in Q3 2023. It is notable that the annual decline rate has largely stabilized / improved from previous quarters, indicating the bottom might have already reached, and a mild rebound is expected in 2024.VR Headset Forecast by Device Type 2014-2028 3Q 2023
TechInsights expects the VR headset market to be worth just under $8 billion and grow to an installed base of 37 million units by 2028.VR Headset Forecast by Price Tier 2014-2028 3Q 2023
TechInsights expects the VR headset market to be worth just under $8 billion and grow to an installed base of 37 million units by 2028.HiSilicon Hi1105GFCV120 Wi-Fi 6/BT 5.2/SparkLink SoC Floorplan Analysis (IoTB)
This is a Basic Floorplan Analysis (BFR) of the HiSilicon Hi1105GFCV120 Wi-Fi 6/BT 5.2/Sparklink SoC. The Hi1105GFCV120 package contains one silicon die (the RF Transceiver) devoid of any salient markings, flip-chip mounted on a printed wiring board (PWB) in a 274 pin FPGA package.Q3 2023: Honor: Record High Market Share with Overseas Expansion
In Q3 2023, Honor’s smartphone shipment increased by +14% YoY worldwide, driven by the solid growth in the overseas market. Its global smartphone volume share broke last quarter’s record and reached a record high level at 5.4%.
AI: Product or Foundational Technology?
The Chip Insider® - As you know, McKinsey has talked up how huge the AI market will be in the future. They project it could be on a scale of trillions of dollars with the high end matching the GDP of today’s Germany ($4.4T).
Cadence Upgrades DSPs To LX8
Cadence has updated its DSPs to use the latest platform while making further improvements to two audio and two vision models.
Axelera Offers 210 TOPS For The Edge
Axelera’s Metis AI accelerator achieves over 200 TOPS using digital in-memory computing for high-end edge applications. Typical power is less than 10 W; module pricing is below that of incumbents.Global Foldable Display Smartphone Vendor Market Share by Design Type: Q3 2023
Samsung was the leader in foldable display smartphone shipments in Q3 2023, followed by Huawei and Motorola. Shipments grew YoY but major markets North America and Western Europe declined heavily ongoing geopolitical and economic turbulence and Samsung's softness.Global Foldable Display Smartphone Vendor Market Share by Region: Q3 2023
Samsung was the leader in foldable display smartphone shipments in Q3 2023, followed by Huawei and Motorola. Shipments grew YoY but major markets North America and Western Europe declined heavily ongoing geopolitical and economic turbulence and Samsung's softness.Global MacBook Shipments by Model: 3Q 2023 Results
Apple has not been immune to the lull in demand and macroeconomic woes that have plagued the notebook PC industry following the COVID-19 era. In 2023, Apple's MacBook shipments are expected to decline 18% from their height in 2022 (24.7 million units).Reticles Forecast: Latest Updates
The latest update of the Reticle Forecast covers yearly reticle sales, shipments, average selling prices, and reticle shipments by stepper type through 2027.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics ReportIBC 2023: AI Takes Center Stage
After making a post-COVID comeback in 2022, the International Broadcasters Conference (IBC) was back at the RAI Amsterdam September 15th to 18th, showcasing numerous product announcements and highlighting emerging broadcast and video industry trends.Automotive Cyber Security Software Vendors and Solutions – 2023 Update
The automotive cyber security market has changed over the last few years as automakers, and their suppliers, adapt their operations and products to comply with regulations set to go into effect in Europe in 2024 and in other countries in the future.Global Device Imaging Enablers Forecast to 2028
Imaging in one of the most important features in a modern handset and vendors continue developing the imaging experience further. Today camera phones have effectively replaced standalone pocket cameras.ANALYSIS: Global Device Imaging Enablers Forecast to 2028
Imaging is one of the most important features in a modern handset, and vendors continue to develop the imaging experience further. Today camera phones have effectively replaced standalone pocket cameras.Apple APL1V02 A17 Pro Processor TSMC 3 nm FinFET Process Digital Floorplan Analysis
This is a Digital Floorplan Analysis (DFR) of the TMQG36 die found inside the Apple A17 Pro APL1V02 processor. The Apple A17 Pro component was extracted from the Apple iPhone 15 Pro released on September 22, 2023.Vendor Share Global Handest Market by Region Q3 2023
Annual global handset shipments fell once again in in Q3 2023. Economic pressure on consumers, war in Europe, and supply-chain and manufacturing disruption are putting the brakes on handset shipments.Huizhou Desay A3011 Lithium Ion Battery (Apple iPhone 15 Pro) Battery Essentials
The A3011 battery, extracted from the Apple iPhone 15 Pro smartphone, holds the substantially high cutoff voltage of 4.48 V. This, together with the importance of its parent device, warrants conducting detailed materials analysis on the A3011 battery.Vendor Share: Global Handset Market by Technology: Q3 2023
Global annual handset shipment growth was once again down in Q2 2023. Global 5G smartphone shipments are still growing, but that improvement is not enough to make up for steep declines in 4G LTE, 2G, and the nearly dead 3G markets.Intel SRMJF (formerly Sapphire Rapids) Xeon CPU Max 9462 Processor Intel 7 (10 nm ESF) FinFET Process Digital Floorplan Analysis
This report presents a Digital Floorplan Analysis of the Intel SPRHBMXLC or SPRHBMXRC dies found inside the Intel SRMJF (formerly Sapphire Rapids HBM) Xeon CPU Max 9462 Processor.
Order Activity is Edging Higher as Memory Pricing Recovers
The Chip Insider GraphicsGlobal Smartphone Vendor and OS Market Share by Region: Q3 2023
Global smartphone shipments shrinks -0.3% YoY in Q3 2023, largely improved from previous quarters. It indicates the ending of inventory corrections, as well as reaching bottom for smartphone industry.Deep Dive Teardown of the Apple Watch Series 9, WiFi Only A2980
The Apple Watch A2980 is the 45 mm version of the Series 9 watch. It is very similar to its predecessor—the Apple Watch Series 8. Both watches are similar in design, battery, and sensors. They are water and dust resistant(IP6X).
Apple iPhone 15 and Global Smartphone Market Overview with Bloomberg Intelligence
Unlock exclusive insights into the Apple iPhone 15 and the global smartphone market with Bloomberg Intelligence. Dive deep into the latest innovations and market dynamics on-demand. Log in now to access this exclusive event and stay ahead of the curve.China Smartphone Vendor and OS Marketshare: Q3 2023
China smartphone shipments declined -5% YoY in Q3 2023. It has been 10 consecutive quarters that China market posted annual decline by volume, blaming to the softened consumer demand and mixed economic outlook.Global Smartphone Top 100+ Microvendors Market Share : Q3 2023
A huge number of second-tier MICROVENDORS were playing a meaningful role in the global smartphone market. However, industry consolidation is underway and major players are taking more shares from small vendors recent years.MediaTek MT6308MP Envelope Tracking Power Supply Floorplan Analysis (RFEF)
This report presents a Basic Floorplan Analysis of the MediaTek BN10712DW found inside MediaTek MT6308MP. The MT6308MP was extracted from the Samsung Galaxy A14.Qualcomm QET4101 Average Power Tracker Floorplan Analysis (RFEF)
The Qualcomm QET4101 component has been a regular part found in several recent Chinese smartphones, which warranted the need to decap a QET4101 sample to confirm whether it was different from the previously QET4100 counterpart.SK hynix H58G66AK6H-X132 1z nm 16 Gb LPDDR5 DRAM Memory Floorplan Analysis
This report presents a Memory Floorplan Analysis of the SK Hynix MDHD5E_20104 die found inside SK Hynix H58G66AK6H-X132. The H58G66AK6H-X132 was extracted from the Apple iPhone 15 Pro 5G smartphone.
Apple’s “Scary Fast” Event Should Scare the PC Industry
Apple's "Scary Fast" event introduced the M3 chip family, offering exceptional speed and performance, challenging Intel, and targeting the commercial market. This innovation sets the stage for Apple's competitive edge in the PC industry's resurgence in 2024.MicroBT KF1978E Whatsminer M56S++ Samsung SF3E Process Flow Analysis
This report provides an analysis of the process flow and integration used in the manufacture of the MicroBT KF1978E ASIC die found in the WhatsMiner M56S++ cryptocurrency miner, the first known commercially available device to use gate-all-around (GAA) transistors.STMicroelectronics VB1940, 1/2.5″ Format, 5.1MP Resolution, 2.25μm Pixel Pitch, Stacked Back-Illuminated RGB/NIR CMOS Image Sensor Device Essentials Folder
This device essentials folder (DEF) presents the STMicroelectronics VB1940, 1/2.5″ Format, 5.1 MP Resolution, 2.25 µm Pixel Pitch, Stacked Back-Illuminated RGB/NIR CMOS Image Sensor for Automotive Applications.North America Smartphone Vendor & OS Market Share by Country: Q3 2023
Growth in the North American smartphone market declined in Q3 2023, but by a lower margin than in previous recent quarters. Seven of the Top-10 brands recorded negative annual shipment growth.UX Benchmark: 23MY BMW i7
TechInsights conducted an evaluation of the infotainment system in the 23MY BMW i7. As consumers expect from the brand, it is packed full of technology and has won number of awards.India Smartphone Vendor and OS Marketshare: Q3 2023
Smartphone market in India declined by -5% annually and grew 26% sequentially in Q3 2023. Xiaomi topped and was followed by Samsung and Vivo in the second and third positions.
Global Smartphone Market Shows Signs of Stability: Q3 2023 Insights
The global smartphone market saw a notable shift in Q3 2023, marked by the ninth consecutive quarter of annual shipment declines. However, there are signs of market stabilization, offering hope for a mild rebound in 2024, with implications for industry players and consumers.
D-Matrix Samples AI Chiplet
Silicon Valley startup D-Matrix is trying to accelerate LLMs with its digital in-memory compute (DIMC) chip. The company’s Corsair card provides up to 9,600 TOPS at 600 W.
Qualcomm’s Oryon CPU Powers Laptops
Qualcomm’s new Snapdragon X Elite for laptops finally achieves competitive Arm-architecture performance while keeping power low. It’s the company’s first use of its Nuvia-originated CPU.
Snapdragon 8 Gen 3 Runs BIG AI Models
Qualcomm’s Snapdragon 8 Gen 3 uses more, faster CPUs and fewer power-efficient CPUs to boost performance. The company’s glitzy AI demos highlight’s what’s now possible on a phone.
Editorial: RISC-V Is The New AI
AI-chip startups raised billions of dollars in prior years, but this year investors turned their attention to RISC-V startups. The new instruction set faces both technical and business challenges.AMD Ryzen 9 7950X3D 3D Vertical Cache TSMC N7HPC FinFET Process Digital Floorplan Analysis
This is a Digital Floorplan Analysis (DFR) of the AMD DGX3D cache die found inside the AMD Ryzen 9 7950X3D desktop processor component.Deep Dive Teardown of the Huawei Mate 60 Pro ALN-AL00 Smartphone
The Huawei Mate 60 Pro is powered by Octa-Core HiSilicon Kirin 9000S Octa-Core Applications/Baseband Processor. For more information about the processor please look at the Digital Floorplan Analysis (DFR-2309-801) report.MediaTek Dimensity 9200 X3 CPU SoC Design Analysis
This report provides an analysis of the standard cells comprising about 70% of the area analyzed in the logic block of the MediaTek 4nm Dimensity 9200 X3 CPU.Micron Technology MT62F1G64D4AM-031_XT_C D1β nm 16 Gb LPDDR5 Memory Floorplan Analysis
This is a Memory Floorplan Analysis (MFR) of the the Micron Technology LPDDR5 Y52P die with a memory capacity of 16 Gb and D1β nm generation node.Analysis : Global 5G Smartphone Sales, Wholesale ASP & Revenue Forecast by Technology to 2028
Global 5G smartphone wholesale revenues will surge between 2019 and 2028. It is by far the fastest-growing sector of the smartphone industry for the next decade.Global 5G Smartphone by Technology Forecast to 2028
We expect mmWave technology to reach 10% penetration of all 5G smartphones in 2023. The majority of sales volumes come from the North American market.Global 5G Smartphone Wholesale ASP & Revenue Forecast by Technology to 2028
Global 5G smartphone wholesale revenues will surge between 2019 and 2028. It is by far the fastest-growing sector of the smartphone industry for the next decade.Autonomous Vehicle Carmaker Announcements
This database covers all the major carmaker announcements in regards to autonomous vehicles, both for semi and fully autonomous technologies.Q3 2023 – Samsung: Pure Smartphone Specialist
Samsung shipped 59.5 million smartphones worldwide and phased out feature phones in Q3 2023.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics ReportDeep Dive Teardown of the Google Pixel Station GMD6J Charging Dock
Several manufacturers share design Wins for the Google Pixel Station GMD6J. The main microcontroller came from the Amlogic, this is quad-core Cortex-A53.Deep Dive Teardown of the Bose Infiniti Q50 Audio Amplifier 28061 5CR2A Automotive
The Bose Premium Series is a high-class audio system. This system is available in the Infiniti Q50. Communication between the audio amplifier and the audio elements in the car takes place via two connectors.Sony ISP from 1.12 μm Pixel Pitch, 12 MP, Stacked Back-Illuminated CMOS Image Sensor Standard Floorplan Analysis
This is a Standard Floorplan Analysis (FAR) of the image signal processor (ISP) die from the 12 MP, 1.12 μm pixel pitch, stacked back-illuminated CMOS image sensor (CIS) extracted from the Apple iPhone 15 Pro Max rear-facing periscope telephoto camera.Samsung 1a 16Gb LPDDR5X DRAM Process Full Flow Report
This report is a detailed process flow report with 3D emulation images in each emulated process step of the Samsung D1a nm 16Gb LPDDR5X DRAM device.Quickturn Teardown of the Google Pixel 8 Pro GC3VE Smartphone
Except for the Google Tensor G3 Application processor, the new Google Pixel 8 Pro is designed with the same Samsung Baseband and RF Transceiver chips, and uses the same Micron LPDDR5 DRAM as the Google Pixel 7 Pro.Survey Plus Teardown of the Xiaomi Redmi 12 5G 23077RABDC Smartphone
The Xiaomi Redmi 12 5G has a 90 Hz, 6.79” LCD Display with a resolution of 1080 x 2460 pixels. The device operates using Android 13 with MIUI 14.IoT Connectivity SoC H2 2023 Briefing
IoT Connectivity SoC 2023 H2 Briefing - Wireless technology beyond smartphones: design trends and opportunities with WLAN for smart applications and iIoT/C-IoT.Automotive Semiconductor Demand Forecast 2021 to 2030 - Q3 2023 Executive Summary
This TechInsights data forecast provides the latest metrics identifying size and growth rate of the future semiconductor demand total across the Powertrain, Body, Chassis, Safety, and Driver Information application domains for the main light vehicle producing regions: North America, Japan, Europe, Russia, South Korea, China, India, Brazil, Thailand and ROW. In 2023, automotive semiconductor is forecast to grow by 23.9%.
No Tricks this Halloween, just Treats from the Backlog
The Chip Insider GraphicsSamsung ISP from the S5KHPXSP, 1/1.4”, 200MP, 0.56μm Pixel Pitch, Back-Illuminated, ISOCELL Tetra2pixel, and Super QPD CMOS Image Sensor Advanced Floorplan Analysis
This report analyzes Samsung HPX as the most recent release of the HP line of image sensors extracted from Xiaomi Redmi Note 12 Pro+ smartphone’s rear-facing wide-angle camera module (former counterparts HP2 and HP3 were found in Samsung galaxy S23 and Honor 80 Pro smartphones’ rear-facing wide-angle camera modules, respectively).Survey Plus Teardown of the Samsung Galaxy Z Fold5 SM-F946U1 Smartphone
The Galaxy Z Fold5's 120Hz main display and touchscreen likely incorporate a 'Shock Dispersion layer' that is 0.070 mm thick.Apple A17 Pro (3nm) Transistor Characterization
This report presents key DC electrical characteristics for logic NMOS and PMOS transistors located in the core region of the Apple A17 Pro, APL1V02 application processor. The APL1V02 application processor wasextracted from the Apple iPhone 15 Pro smartphone.Deep Dive Teardown of the Ring Alarm Security Kit BHABU003 Security System
The Ring Alarm Security System consists of five devices that can be treated separately. The manufacturer provides the option of purchasing each of them individually. This project describes the basic combination of Base Station with separate modules that work with it.Autonomous Vehicle Start-ups & Small Companies
This database covers the key start-ups and small companies that collectively are playing an important role in the development of autonomous vehicle technology.Preliminary Global Notebook PC Shipments and Market Share: Q3 2023
Notebook PC shipments declined just 7% in the third quarter of 2023 versus the same period a year ago, the first time the markets' decline has slowed to single-digit percentage points since the first quarter of 2022.HiSilicon Kirin 9000s GFCV120 SMIC 7nm (N+2) FinFET Process Advanced CMOS Essentials Analysis
The HiSilicon 9000s (Hi36A0 GFCV120) powers the latest flagship, the Mate 60 Pro smartphone released in September 2023, from China-based Huawei, a surprise announcement despite US sanctions.Deep Dive Teardown of the Samsung Galaxy Z Flip5 SM-F731N Smartphone
Qualcomm has the most design wins for the Samsung Galaxy Z Flip SM-F731N, supplying 14 of the 42 major designs.Survey Plus Teardown of the Vivo Y27 V2249 Smartphone
The Vivo Y27 features a Tianma display, which is an LCD display known for its competitive price compared to other types of displays on the market.HiSilicon Kirin 9000s Hi36A0 GFCV120 SMIC 7 nm N+2 FinFET Process Digital Floorplan Analysis
This is a Digital Floorplan Analysis (DFR) of the Hi36A0 GFCV120 die found inside the HiSilicon Kirin 9000S processor.
OpenAI making AI chips? Silicon Supremacy: Who wins?
The Chip Insider®Sony IMX623, 1/2.42” Format, 2.95MP, 3.0μm Pixel Pitch, Stacked Back-Illuminated (Exmor RS) Split Pixel Technology HDR and LFM CMOS Image Sensor Device Essentials Folder
This device essentials folder (DEF) presents the Sony IMX623, 1/2.42” Format, 2.95 MP, 3.0 µm Pixel Pitch, Stacked Back-Illuminated (Exmor RS) Split Pixel Technology HDR and LFM CMOS Image Sensor for Automotive Applications.Automotive Semiconductor Demand Forecast 2021 to 2030 - October 2023
This TechInsights data forecast provides the latest metrics identifying size and growth rate of the future semiconductor demand total across the Powertrain, Body, Chassis, Safety, and Driver Information application domains for the main light vehicle producing regions: North America, Japan, Europe, Russia, South Korea, China, India, Brazil, Thailand, and rest of the world.Thailand xEV System, Semiconductor and Sensor Demand Forecast 2021 to 2030 - October 2023
This TechInsights data model presents a view of the Thailand outlook for xEV systems and associated semiconductor and sensor demand.West Europe xEV System, Semiconductor and Sensor Demand Forecast 2021 to 2030 - October 2023
This TechInsights data model presents a view of the West Europe outlook for xEV systems and associated semiconductor and sensor demand.Global xEV System, Semiconductor and Sensor Demand Forecast 2021 to 2030 - Powertrain, Body, Chassis & Safety - October 2023
This TechInsights data model presents a view of the Global outlook for xEV systems and associated semiconductor and sensor demand.Global xEV System, Semiconductor and Sensor Demand Forecast 2021 to 2030 - Electric Vehicles Service - October 2023
This TechInsights data model presents a view of the Global outlook for xEV systems and associated semiconductor and sensor demand.South Korea xEV System, Semiconductor and Sensor Demand Forecast 2021 to 2030 - October 2023
This TechInsights data model presents a view of the South Korea outlook for xEV systems and associated semiconductor and sensor demand.Russia xEV System, Semiconductor and Sensor Demand Forecast 2021 to 2030 - October 2023
This TechInsights data model presents a view of the Russia outlook for xEV systems and associated semiconductor and sensor demand. The market remains at an early stage and is further impacted by international OEMs pulling out of the market.ROW xEV System, Semiconductor and Sensor Demand Forecast 2021 to 2030 - October 2023
This TechInsights data model presents a view of the rest of world (ROW) outlook for xEV systems and associated semiconductor and sensor demand. The market remains at an early stage with a primary emphasis towards hybrid platforms.NAFTA xEV System, Semiconductor and Sensor Demand Forecast 2021 to 2030 - October 2023
This TechInsights data model presents a view of the NAFTA outlook for xEV systems and associated semiconductor and sensor demand.Japan xEV System, Semiconductor and Sensor Demand Forecast 2021 to 2030 - October 2023
This TechInsights data model presents a view of the Japan outlook for xEV systems and associated semiconductor and sensor demand.India xEV System, Semiconductor and Sensor Demand Forecast 2021 to 2030 - October 2023
This TechInsights data model presents a view of the India outlook for xEV systems and associated semiconductor and sensor demand.East Europe xEV System, Semiconductor and Sensor Demand Forecast 2021 to 2030 - October 2023
This TechInsights data model presents a view of the East Europe outlook for xEV systems and associated semiconductor and sensor demand.China xEV System, Semiconductor and Sensor Demand Forecast 2021 to 2030 - October 2023
This TechInsights data model presents a view of the China outlook for xEV systems and associated semiconductor and sensor demand.
Can charging the iPhone 15 using Android USB-C cables cause overheating issues?
Discover if using Android USB-C cables with the iPhone 15 causes overheating. TechInsights' analysis reveals consistent charging and temperature performance.Brazil xEV System, Semiconductor and Sensor Demand Forecast 2021 to 2030 - October 2023
This TechInsights data model presents a view of the Brazil outlook for xEV systems and associated semiconductor and sensor demand. The market remains at an early stage with a primary emphasis towards hybrid platforms.Automotive Infotainment Systems Q4 2023 - Market Overview
TechInsights’ Automotive Infotainment & Telematics Q4 2023 System Market Report provides a forecast outlook for the OEM) and aftermarket hardware and feature market from 2020 through 2030.Automotive Infotainment Semiconductors Q4 2023 – OEM and Aftermarket Growth Drivers
TechInsights’ Automotive Infotainment & Telematics Q4 2023 Semiconductor Market Report provides a forecast outlook for OEM and aftermarket hardware and applications market from 2020 through 2030.Global Smartphone Revenues and ASP Forecasts by 88 Countries : 2012 to 2028
This extensive report forecasts wholesale ASPs and revenues for 88 countries worldwide from 2012 to 2028.Global Smartphone Revenues, ASPs & Price-Tier Forecasts: 2008 to 2028
This report forecasts global smartphone sales volume, revenues and wholesale ASPs by six major regions and five price-tiers from 2008 to 2028. Extensive analysis of the premium, high, mid, entry and ultra-low price-bands is included.Analysis: Global Bluetooth TWS and Banded Headset 88 Country Forecast to 2028
Bluetooth headsets have become must-have product for many smartphone owners as vendors remove the 3.5 mm plug from their smartphones.
Order Activity is Slowly Increasing Despite Low Utilization (October Update)
The Chip Insider Graphics
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics ReportAutomotive Infotainment & Telematics - Systems Q4 2023 - Presenter
Automotive Infotainment & Telematics - Systems Q4 2023 - Presenter Share This Post The Automotive Infotainment & Telematics - Systems Q4 2023 – Presenter file is a companion slide presentation to the Automotive Infotainment & Telematics - Systems &
Partial Certs Complicate Auto Safety
Automotive safety assessment isn’t as simple as declaring an ASIL level. Some ASIL D certifications cover more than others. The details matter.
P870 Raises RISC-V Performance Bar
SiFive’s P870 CPU is a high-throughput RISC-V core, implementing a wide microarchitecture and promising performance exceeding that of Arm’s fastest Cortex-A CPU.Solidigm 1.33Tb 192L QLC 3D NAND Internal Waveform Analysis
This is a Internal Waveform Overview (IWO) containing the program, read, and erase waveforms for the Solidigm 1.33 Tb 192L QLC 3D NAND flash memory device. The analysis provides an overview of the internal voltages required to program, read, and erase the flash memory cells.Analysis: Handheld Gaming PCs Vie for Piece of $250 Billion Gaming Industry
Gaming, and all that it encompasses today, would not exist without the personal computer. The first video game dates back to student hobbyists at MIT in 1962. Fast forward 60 years and PC gaming continues to attract enthusiastic gamers, though revenue pales in comparison to other modes of gaming (mobile).DENSO SF410 Automotive SOI-BCD PMIC (Toyota MK4 Prius Battery Control Unit) PMIC Process Analysis
This is a power essentials analysis (PEF) of the Denso SF410 power management integrated circuit (PMIC), extracted from the Toyota MK4 Prius Battery Control Unit.Conference Shows Electrification Plays Critical Role in Driving Shared Mobility
Speakers at the Move America 2023 conference expressed an urgency to establish more reliable public charging for advancing all transportation modes.Deep Dive Teardown of the Garmin Vivomove Trend A04224 Smartwatch
In comparison to other Garmin smartwatches or the Apple Watch 8, the Garmin Vivomove Trend is a much simpler device, primarily serving as a fitness tracker. It connects to the user's phone via the Garmin Connect App to utilize resources like GPS, but its functions are limited to what it can do on its own.Smart Speaker and Screen Vendor & OS Value Market Share by Region: Q2 2023
Global smart speaker and smart display wholesale revenues fell by 9% year-over-year in Q2 2023 to reach just over $2.1 billion. Meanwhile, average selling prices grew by 5% compared to the previous year as a result of new higher priced model releases from Apple (HomePod 2) and Sonos (Era 100 and Era 300).Sony Semiconductor (Formerly Altair), Sequans, and Qualcomm Lead the iSIM Chip Market Development
Cellular connectivity is the cornerstone of IoT and SIM technology plays a significant role in connecting IoT devices.China - Big Tech Companies' Key Cockpit and Connected Service Competencies
Since 2015, major Chinese internet companies have entered the connected vehicle business and provided comprehensive solutions for map, navigation, online content integration, speech recognition, and even integrated solution for connected services and infotainment systems.Renesas R7F702301BEBBA-C TSMC 28nm eFlash Memory Floorplan Analysis
This report is a Memory Floorplan Analysis (MFR) of the embedded flash memory on the Renesas R7F702301B die found inside Renesas R7F702301BEBBA-C.SVOD Service by Forecast (2010–2030)
Despite growth slowing as the subscription video on demand (SVOD) market matures and the COVID bump dissipates, SVOD services are on track to net an additional 82M subscribers in 2023, up 6% YoY.Sony IMX591, 0.01 MP Resolution, 10.1 μm Pixel Pitch Stacked Back-Illuminated Direct-Time of Flight (d-ToF) SPAD Sensor Device Essentials Folder
This is a device essentials folder (DEF) of Sony IMX591, 10.1 µm pixel pitch, stacked back-illuminated direct-Time of Flight (d-ToF) SPAD sensor, extracted from the Apple iPhone 15 Pro Max LiDAR camera.
China Does It Again: A NAND Memory Market First
TechInsights has uncovered the world's most advanced 3D NAND memory chip in a consumer device, a surprise achievement from China's YMTC, a top 3D NAND manufacturer. This chip is crucial for high-performance computing, especially in AI and machine learning, and marks a significant leap in technology, being the first quad-level cell (QLC) 3D NAND die with over two hundred active word lines detected by TechInsights.Conference Shows Electrification Plays Critical Role in Driving Shared Mobility
Speakers at the Move America 2023 conference expressed an urgency to establish more reliable public charging for advancing all transportation modes.Analysis: Global Refurbished Devices Forecast to 2028
Global refurbished handset sales volumes are growing at a healthy pace in 2023 and will continue to grow through the next several years.Deep Dive Teardown of the ring Ring Bridge 5C28S8 Smart Home
The Ring Bridge 5C28S8 is a LoRa hub which controls Ring lights, doorbells and Ring cameras that are connected to same network in the one Ring App. If one Ring device detects motion, it can turn on lights, activate cameras, and connect to Alexa-enabled devices.Samsung S5KHP2S 0.60 µm Pixel Pitch Device Essentials Plus
The Device Essentials Plus analysis of Samsung's S5KHP2S, a 200 MP, 0.60 μm Pixel Pitch, Back-Illuminated CMOS Image Sensor found inside the Galaxy S23 Ultra rear wide-angle camera.
Video Series: Embedded and Emerging Memory
Interested in all things emerging and embedded memory? Don’t miss this video series featuring Dr. Jeongdong Choe.
TSMC OIP 2023 Silicon Valley
The Chip Insider®Deep Dive Teardown of the Amazon Echo Buds A7W95C/A7W3HR/A7W3HL Wireless Earbuds
The Amazon Echo Buds Pro were released on July 7, 2023. They work with Amazon Alexa. Compared to the second-generation Amazon Echo Buds, they are inexpensive and support Bluetooth 5.2 instead of 5.0Automotive Infotainment & Telematics – Vendor Market Shares & OEM Features Q4 2023
TechInsights Automotive Infotainment & Telematics Q4 2023 Vendor Market Shares & OEM Features report provides an overview of System Vendor and Chipset Vendor market shares, as well as a range of key features by OEM including Telematics, Navigation, Smartphone Projection, Displays, and Operating Systems, etc.Automotive Infotainment & Telematics – Systems & Features Q4 2023
TechInsights Automotive Infotainment & Telematics Q4 2023 System Market Spreadsheet provides a forecast outlook for the OEM and aftermarket hardware and feature market from 2020 through 2030.Automotive Infotainment & Telematics – Semiconductors Q4 2023
TechInsights’ Automotive Infotainment & Telematics Q4 2023 Semiconductor Market Spreadsheet provides a forecast outlook for OEM and the aftermarket hardware and applications market from 2020 through 2030.
Intel Reconsiders FPGAs
Intel is spinning its FPGA division out into a separate reportable division. After a couple of years, it may issue public shares in a portion of the new company, maintaining a controlling stake for itself.
Raptor Redux Delivers Little To Desktop
Code-named Raptor Lake Refresh, Intel’s 14th-generation Core processors add little to the 13th-generation Raptor Lake. We expect them to mainly target desktop PCs while Meteor Lake addresses mobile-PC designs.SK hynix H5CGD8MHBD-X021 D1a EUV 24Gb DDR5 DRAM Memory Floorplan Analysis
This is a Memory Floorplan Analysis (MFR) of the SK Hynix MDHD5C21001 die found inside SK Hynix H5CGD8MHBD-X021. The SK Hynix H5CGD8MHBD-X021 was extracted from the G.Skill Trident Z5 RGB 24 GB DDR5 DRAM.Intel Alchemist SRLFZ Graphics Processor TSMC N6 FinFET HKMG CMOS Process Digital Floorplan Analysis
Intel Alchemist SRLFZ Graphics Processor TSMC N6 FinFET HKMG CMOS Process Digital Floorplan Analysis Share This Post This is a Digital Floorplan Analysis (DFR) of the Intel DG2128B0 die found inside the Intel SRLFZ graphics processor. The Intel SRLFZDeep Dive Teardown of the Apple MacBook Air 15 inch A2941 Laptop
With the New MacBook M2 A2941, Apple continues its design tradition of using an aluminum enclosure fitted to all internal components.Apple U2 (TMQE08 die) Ultra-Wide Band Chip Floorplan Analysis (IoTB)
This report provides basic floorplan analysis (BFR) of the Apple U2 UWB transceiver TMQE08 die found in Apple iPhone 15 Pro, which is the most recent development to the Apple U1 UWB transceiver TMKA75 die found in Apple iPhone 11 analyzed by TechInsights in 2019 (BFR-1910-801).
Chinese OEM Autonomous Vehicle Strategies (2023 Update)
China leads the charge in automotive innovation with cutting-edge ADAS and AD solutions. TechInsights tracks this dynamic landscape, showcasing China's unique approach marked by innovation, strategic partnerships, and a commitment to self-reliance.Chinese OEM Autonomous Vehicle Strategies: 2023 Update
In this update, TechInsights explores Chinese OEMs' high-end advanced driver-assistance system (ADAS) and autonomous driving (AD) strategies used to develop safer and more profitable vehicles.Chinese OEM Autonomous Vehicle Strategies: 2023 Update
This field is used for the Short Desc in the eStore Report Store Item.In this update, TechInsights explores Chinese OEMs' high-end advanced driver-assistance system (ADAS) and autonomous driving (AD) strategies used to develop safer and more profitable vehicles.
The Calm before Earnings Season
The Chip Insider Graphics
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics ReportVendor Update: Ciena Becoming A Force in PON Network Equipment
Seven vendors presently dominate the mature global PON network equipment market. Of them, three are excluded from several Western-aligned countries.Handset Revenue & ASP By Vendor by Region : Q2 2023
Global Wholesale Handset industry revenues declined by -6% annually in Q2 2023. Apple. Samsung and Xiaomi were the top three vendors. Asia Pacific was the largest region both by value and volume in the quarter.
Apple's Surprising Move: Sony's Exmor T Process Technology in the iPhone 15 Base Model's Rear Wide-Angle Camera
In the world of smartphone innovation, it's not often that the base model gets to steal the spotlight from its more advanced siblings. However, Apple has done just that with the iPhone 15.Samsung K4ZAF325BC-SC20 GDDR6 DRAM Memory Floorplan Analysis
This report presents a Memory Floorplan Analysis of the Samsung K4Z80165BC found inside Samsung K4ZAF325BC-SC20. The K4ZAF325BC-SC20 was extracted from the Gigabyte GeForce RTX 4060 Ti AERO OC 16 G graphics card.Smartphone Apps Processor Market Share Tracker Q2 2023: Apple Remains Resilient While Market Corrects
The global smartphone applications processor (AP) market declined 10 percent year-on-year in terms of unit growth in Q2 2023. Smartphone market continues sluggish performance throughout first half of the year while Apple appear to buck the industry trend.Tablet Apps Processor Market Share Tracker Q2 2023: Apple's Leadership Extends in Both Volumes and Revenue
The global tablet applications processor (AP) market grew 6.7 percent year-on-year in terms of revenue in Q2 2023. x86-based APs, driven by Intel, dropped below 9 percent unit share.Smartphone On-Device AI Chip Market Share Tracker Q2 2023: AI Accelerator Penetration To Reach Three-Quarters of All Mobile SoCs
Global smartphone apps processors (AP) with on-device artificial intelligence (AI) declined 8 percent year-over-year in Q2 2023 primarily due to a contracting smartphone market.Deep Dive Teardown of the Tecno Phantom V Fold AD10 Smartphone
The Tecno Phantom V Fold design includes two battery subsystems. Both batteries are made by Dongguan Amperex Technology Limited using Lithium-polymer based cells by Amperex Technology Limited. The packs are rated for 2310 mAh and 2550 mAh and can be fast charged with input power up to 45W.Handset Vendor Market Share for 60 Countries : Q2 2023
Global handset shipments declined by -12% annually in Q2 2023. The "Sixty" counties tracked in this report accounted for over 90% of the handset volumes in the quarter. Samsung, Apple, and Xiaomi were the top three vendors by consolidated shipments to these markets.Global Handset Sales Forecast by 88 Countries and 19 Technologies up to 2028
5G is the biggest technology followed by 4G (LTE). LTE (4G) sales continue to decline as a result of growing interest in 5G devices. LTE still grows in Middle East and Africa region.ANALYSIS: Global Smartphone Sales Forecast by Technology for 88 Countries to 2028
Global smartphone sales are declining in 2023. Growth going forward is slow as geopolitical and economic turbulence keeps growth moderate.Global Smartphone Sales Forecast by Technology for 88 Countries to 2028
Global Smartphone Sales Forecast by Technology for 88 Countries to 2028 Share This Post Global smartphone sales are declining in 2023. Growth going forward is slow as geopolitical and economic turbulence keeps growth moderate. Asia Pacific willGlobal Handset Sales Forecast by 88 Countries and 19 Technologies to 2028
5G is the biggest technology followed by 4G (LTE). LTE (4G) sales continue to decline as a result of growing interest in 5G devices. LTE still grows in Middle East and Africa region.France Handset Vendor Marketshare by Operator : Q2 2023
The French handset market in Q2 2023 declined by -7% YoY by shipment basis. Samsung captured no.1 positions across all local carriers while Apple stood at no.2 positions.Survey Plus Teardown of the Samsung Galaxy A04e SM-A042F/DS Smartphone
The main difference between the Samsung A04e SM-A042F/DS and A04 SM-A045F/DS is the cameras used. The Samsung Galaxy A04e SM-A042F/DS features two rear cameras (13MP Main Camera and 2MP Depth Camera) and a 5MP Front Camera.Deep Dive Teardown of the TP-LINK BE24000 Quand-Band Wi-Fi 7 Router Archer BE900 Wireless Router
Wi-Fi 6E offers a maximum throughput of up to 9.6 Gbps, while Wi-Fi 7 offers up to 46 Gbps. This means that Wi-Fi 7 is more than four times faster than Wi-Fi 6E.
China’s SMIC Plays 7 nm Card
Huawei launched the Mate 60 Pro phone with a 7 nm processor fabricated in China, seemingly in contravention of Western sanctions. They did it by employing multiple-patterning lithography.
AMD Epyc 8004 Extends Temp Range
The AMD Epyc 8004 (Siena) processor targets telco and other embedded designs. Employing the Zen 4C CPU, it’s similar to the recent Bergamo server processor but has fewer CPUs and DRAM interfaces and a smaller package.
Can China Dominate the Power Semiconductor Market?
This webinar explores how China's semiconductor industry, particularly in the power semiconductor market, could outpace the West amid global pressures. It examines innovation in consumer electronics by companies like Huawei, Xiaomi, and BBK Electronics, as well as the rise of disruptive players like Innoscience in wide bandgap semiconductor and power management integrated circuit (PMIC) manufacturing.Deep Dive Teardown of the Samsung Galaxy Z Fold5 SM-F946B Smartphone
The 120Hz Main Display/Touchscreen Subsystem probably has a 'Shock Dispersion layer.' The hinge consists of three parts ("Triple Rail structure"), each hinge has four springs and four side mountings by which the hinge is attached to the housing (two for each housing).Value Share: Global Smartphone Vendor ASP and Revenue Share by Region: Q2 2023
Global smartphone industry revenues declined by -6% annually in Q2 2023. Apple, Samsung and Xiaomi were the top three vendors and together accounted for 70% of the revenues.YMTC 1Tb 232L TLC 3D NAND Internal Waveform Overview
The YMTC 1 Tb 232L TLC 3D NAND is featured in this internal waveform overview (IWO), which provides an analysis of the program, read, and erase waveforms.Unknown RTFQ4B1V3 FR1 Transceiver from Huawei Mate 60 Pro Floorplan Analysis (RFTF)
The unknown manufacturer of RTFQ4B1V3 and RTFQ1B7S5 are FR1 transceivers found in the ALN-AL00 and ALN-AL80 128 GB RAM 512 GB ROM versions of the Huawei Mate 60 Pro 5G.
Order Activity is Edging Higher
The Chip Insider Graphics
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics ReportAutomotive LiDAR Market Update: Chinese Vendors Take Early Lead
By 2025 TechInsights predicts that Hesai will maintain its market lead with 28% of the global automotive LiDAR market share, followed by RoboSense at 20%.Analysis: Mobile Computing Vendor Market Share Q2 2023 Results
The mobile computing market was down 17% year-over-year in Q2 2023 in both shipments and revenue. While the notebook market showed signs of stabilization with sequential growth between the first and second quarter, the tablet market continued to see declines.Smart Speaker and Smart Display Shipment and Installed Base Forecast for 88 Countries : 2014 to 2028
Global demand for smart speakers and smart displays is stalling and shipments are expected to decline by 7% in 2023 following a 2% drop in 2022.
Chip Observer (October 2023)
In TechInsights' Chip Observer, a publication dedicated to monitoring the semiconductor market from production facilities to consumer endpoints, the focus of this article is sustainability.MicroBT KF1978E ASIC Miner Samsung SF3E GAA Process Advanced CMOS Essentials
The MicroBT WhatsMiner M56S++ cryptocurrency miner die features the first high-volume manufactured gate-all-around (GAA) CMOS technology, produced using Samsung's SF3E process, Samsung’s early version of their 3 nm process.VR Headset Forecast by Price Tier 2014-2028 3Q 2023 Publication
TechInsights expects the VR headset market to be worth just under $8 billion and grow to an installed base of 37 million units by 2028.VR Headset Forecast by Device Type 2014-2028 3Q 2023 Publication
TechInsights expects the VR headset market to be worth just under $8B and grow to an installed base of 37 million units by 2028.Deep Dive Teardown of the Luminar Technologies Iris LiDAR 70-0034-00102203A15650 Automotive
The Luminar Iris seems to have different versions. The latest one is equipped with the flagship EX90 electric car from Volvo as the main sensor supporting autonomous driving system.
Intel Innovation 2023: It’s grand strategy revealed
The Chip Insider®Sony IMX695AFR, Advanced Photo System Type-C (APS-C) Format, 26.1 MP Resolution, 3.77 μm Pixel Pitch, Stacked Back-Illuminated CMOS Image Sensor Device Essentials Folder
This report presents a device essentials folder (DEF) of Sony IMX695AFR Advanced Photo System Type-C (APS-C) Format, 26.1 MP Resolution, 3.77 µm Pixel Pitch, Stacked Back-Illuminated CMOS Image Sensor, extracted from Fujifilm X-H2S mirrorless camera.Automotive Cockpit Domain Controllers: 2023 Market Update
Cockpit domain controllers (CDCs) represent one of the first types of systems with features that were previously in separate electronic control units, which support more than just infotainment, for example safety-critical functions, such as the instrument cluster, occupant monitoring, driver monitoring, and other ADAS features.Analysis: Connectivity Technologies Forecast to 2028
The modern smartphone incorporates dozens of different wireless and wired technologies for charging, connections, and communication.Global Smartphone Sales Forecast by Wireless Connectivity Technologies to 2028
The modern smartphone incorporates dozens of different wireless technologies for charging, connections, and communication. From UWB to Bluetooth to WiFi the modern smartphone is a complex powerhouse of wired and wireless technologies.Global Smartphone Sales Forecast by Wired Connectivity Technologies to 2028
The modern smartphone incorporates dozens of different wired technologies for charging, connections and communication. From USB-C to Display Port to 3.5mm plug, the modern smartphone is a complex powerhouse of wired technologies.Deep Dive Teardown of the Google Pixel Fold G9FPL Smartphone
The Google Pixel Fold features a relatively unorthodox design with two separate pieces serving as endcaps of the hinge cover. The more typical design, such as that in the Samsung Galaxy Z Fold4, Huawei Mate X3, or other foldables, is where the mechanical elements are present along most of the length of the hinge.Deep Dive Teardown of the SuperMicro GPU SuperServer SYS-741GE-TNRT GPU Server
The Supermicro server contains two Intel Xeon Sapphire Rapids processors. It has a mechanical structure typical of professional servers in this class.Deep Dive Teardown of the Honor X50 ALI-AN00 Smartphone
The Honor X50 was supplied for the first time by Qualcomm octa-core Snapdragon 6 Gen 1 processor SM6450-500-AB with package size 12.54 × 12.04 mm while the die size was 8.05 × 6.65 mm.
Driving Towards a Sustainable Future with Electric Vehicles
The global automotive landscape is transforming as the push for sustainability grows. Governments and consumers are driving the shift to electric vehicles (EVs), with countries like the EU, U.S., and China leading the way.
Cadence Boosts DLA Speed By 20×
Cadence’s Neo AI licensable IP block provides up to 80 TOPS of performance. Its NeuroWeave SDK unifies AI design across all Cadence IP options.
Intel Core Ultra Accelerates AI
Accelerated AI is a key feature of Intel’s forthcoming Core Ultra (Meteor Lake) processors.
Hexagon Adds INT4 Support
Peak raw performance of the Hexagon-based AI accelerator in Qualcomm’s newest flagship smartphone processor is 4× greater than that of the previous generation.Generative AI Takes Alexa and its Smart Home Ecosystem to The Next Level
Generative AI Takes Alexa and its Smart Home Ecosystem to The Next Level Share This Post On September 20, Amazon hosted its annual devices and services event that evolved at a notably slower pace, and with fewer new product announcements than in the
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics ReportSK hynix H56G42AS8D-X014 D1y 8Gb GDDR6 HKMG DRAM Memory Floorplan Analysis
This is a Memory Floorplan Analysis (MFR) of the SK hynix GDDR6 H56G32CS die with a memory capacity of 8 Gb and a D1y generation node.
Order Activity is Cautiously Warming up
Order Activity is Cautiously Warming up Shereen Vaux Order activity for semiconductor equipment increased to 56 °F. Order activity continues heating up for subcontractors/advanced packaging to alleviate the bottlenecks still seen there. Micron’s2023 Infotainment Report: Streaming Makes Great Gains
2023 Infotainment Report: Streaming Makes Great Gains Share This Post TechInsights surveyed 5,106 car owners in the United States (1,760), China (1,611), and Western Europe including France, Germany, Italy and the United Kingdom (1,735) coveringNorth America Tablet Vendor & OS, Unit & Value Market Share by Country: Q2 2023 Results
Consumers are pulling back on consumer electronics purchases this year due to budgetary constraints. In the tablet market, this has been compounded by a glut of tablet ownership during the COVID era.Deep Dive Teardown of the QuietOn 3.1 Wireless Earbuds
The TechInsights Platform includes many reports analyzing sets of wireless headphones, including Google Pixel Buds Pro, Samsung Galaxy Buds Pro, and Apple AirPods Gen. 2.
Re-globalization and the rise of vertical supply chains
The Chip Insider®MediaTek MT6363FW Power Management Integrated Circuit Process Analysis
This report presents a power essentials analysis (PEF) of the MediaTek MT6363FW power management integrated circuit (PMIC), extracted from the main printed circuit board (PCB) of the vivo V2241A X90 smartphone.Qualcomm QCA6696 Wi-Fi 6/BT 5.2 SoC Floorplan Analysis (IoTB)
Qualcomm QCA6696 Wi-Fi 6/BT 5.2 SoC Floorplan Analysis (IoTB) Share This Post This report presents a Basic Floorplan Analysis (BFR) of the Qualcomm HG11-YG502-200 die found inside Qualcomm QCA6696. The QCA6696 was extracted from the Quectel AF50T, anGlobal OEM Autonomous Vehicle Strategies: 2023 Update
In this update, TechInsights explores Global OEMs high end advanced driver-assistance system (ADAS) and autonomous driving (AD) strategies used to develop safer and more profitable vehicles.Dedicated AR Headset Forecast by Price Tier 2013-2028 3Q 2023
TechInsights expects the global dedicated AR headset market to be worth over $32B (wholesale revenue) and grow to an installed base of just under 160m by 2028.Dedicated AR Headset Forecast by Device Type 2013-2028 3Q 2023
TechInsights expects the global dedicated AR headset market to be worth over $32B (wholesale revenue) and grow to an installed base of just under 160m by 2028.North American 5G Smarphones Country Forecast to Q4 2024
5G smartphone shipments in North America in Q2 2023 accounted for over nine in ten of total smartphone shipments in the region. The USA accounted for more than nine in 10 of all 5G smartphones shipped in the region in the quarter.Quickturn Teardown of the Apple iPhone 15 A3090 Smartphone
Moving to the Apple A16 Bionic processor from the A15 processor used in the Apple iPhone 14 impacted the BoM total by $12. IC#13 found on the Main Board appears to be the new UWB U2 module Apple announced would be in all new iPhone 15 models at the Apple launch event.Survey Plus Teardown of the Oppo Reno 10 5G PHW110 Smartphone
The Oppo Reno 10 5G PHW110 is one of the latest Oppo smartphones. This device is one of the latest variants from Oppo Reno line and a successor to the Oppo Reno 9.
Intel Gaudi2 Nears Nvidia H100 on LLMs
Industry leaders duke it out on the new LLM test included in the new version of the MLPerf data-center inference benchmark while also pursuing efficiency gains.
More Optics Head for the Data Center
Intel, Ayar Labs, and Lightelligence ran experiments demonstrating the benefit of fiber in an optical mesh network and its use in accessing remote memory via CXL.
AMD’s New Pensando DPU Cuts Power
Less than two years after acquiring Pensando, AMD is readying the new Giglio DPU that tweaks the earlier Elba design to raise performance and reduce power.Global Top-500 Smartphone Revenue, Value Share, ASP, and Price Band by Model: Q2 2023
This highly detailed report shows the top-500 best-selling smartphone models globally in terms of their revenue, value share, wholesale ASP, and price band in the second quarter of 2023.Global Top-500 Smartphone Shipments, ASP, and Price Band by Model: Q2 2023
This highly detailed report shows the top-500 best-selling smartphone models globally in terms of their shipments, wholesale ASP, and price band in the second quarter of 2023.Global Wearables Revenue and ASP by Vendor : Q2 2023
Global wearable revenues fell by 1% in Q2 2023 as macroeconomic weakness and geopolitical uncertainty caused lengthening replacement cycles and shrinking volumes for both smartwatches and fitness bands.Global Fitness Band Revenue and ASP by Vendor by Price Tier: Q2 2023
Global fitness band industry revenues plummeted by 43% to a record low in Q2 2023.IAA 2023 - ADAS: Growing Chinese Presence, While Nodar Takes On LiDAR
The 2023 IAA Munich Auto Show was heralded as the event where the Chinese “took over” Europe, with the presence of many Chinese suppliers of advanced driver-assistance systems and sensors.Global 5G and LTE Handset Revenue and ASP by Vendor : Q2 2023
5G wholesale handset revenues are declined due economic and geopolitical turbulence. Apple continues as the world’s largest 5G handset vendor by shipments and by value.Analysis: Global 5G and LTE Handset Revenue and ASP by Vendor: Q2 2023
Global 5G handset industry revenues and shipments stagnated in Q2 2023.Deep Dive Teardown of the Clarion Peugeot 308 Sport Audio Amplifier 9825899680 Automotive
The Clarion 12-way Audio Amplifier with four differential audio inputs is a high-class audio system designed in cooperation between Focal and Peugeot.Survey Plus Teardown of the Vivo S17 5G V2283A Smartphone
The Vivo S17 5G V2283A is successor for the Vivo S16 V2244A which TechInsights previously analyzed.AMD Navi 31 215-145000146 Graphics Processor TSMC N5P FinFET HKMG CMOS Process Digital Floorplan Analysis
This report presents a digital floorplan analysis (DFR) of the AMD Navi 31 215-145000146 Graphics Processor, fabricated using the TSMC N5P FinFET HKMG CMOS Process, and extracted from the RX 7900 XTX 102-D70201-00-TW graphics card.Apple M2 Ultra Processor TSMC InFO-L Package Technology Advanced Packaging Quick Look Analysis
This advancing packaging quick look report (APQ) provides insight on the advanced packaging innovations used in the manufacturing of the Apple M2 Ultra Processor, utilizing TSMC InFO-L package technology.Survey Plus Teardown of the Oppo F23 5G CPH2527 Smartphone
Released in May 2023, the Oppo F23 5G (CPH2527) has been equipped with Qualcomm’s Octa-Core Snapdragon 695 Applications/Baseband Processor #SM6375-100-AB, made in 5nm technology.Infineon TLE9012DQU Automotive Li-ion Battery Management PMIC Power Essentials
This report presents a power essentials summary (PEF) of the Infineon TLE9012DQU Automotive Li-ion Battery Management PMIC, featuring a modern Infineon 0.13 um BCD process using deep and shallow device isolation and polysilicon shielded LDMOS gates.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics ReportDeep Dive Teardown of the Withings Body Scan WBS08-Black-All-Inter Health Monitor
Aside from weight, the Withings Body Scan WBS08 scale can also measure body composition, heart rate, and ECG.
Memory Spot Prices are Starting to Warm up
Memory Spot Prices are Starting to Warm up Shereen Vaux Order activity for semiconductor equipment increased to 55 °F with most segments jumping a degree. Memory fundamentals are improving due to supply reductions for both DRAM and NAND. MemorySamsung K4C6E1K6MB 3rd Gen. 16Gb HBM2E DRAM Memory Floorplan Analysis
This report (MFR) provides an analysis of the floorplan design used in the Samsung K4C6E1K6MB 3rd Gen.
Exploring How User Interfaces Shape In-Vehicle User Experiences
Automotive Video Series: TechInsights is releasing a new video segment each week covering a different hot topic within the world of the in-vehicle user interface experience.Amperex Technology Limited HB526881EHC Lithium-Ion Battery (Honor Magic 5 Ultimate) Battery Characterization
This report presents a battery characterization of the Amperex Technology Limited HB526881EHC Lithium-Ion Battery, extracted from the Honor Magic 5 Ultimate smartphone.Deep Dive Teardown of the Huawei Watch Buds SGA-B19 Smartwatch
The Huawei Watch Buds is a high-performance watch with earbuds hidden under the display. The watch is equipped with wrist heart rate monitoring, WiFi, GPS, BT, and sleep tracking features.Qualcomm Wi-Fi 7 Day Brightens Outlook on Next Wi-Fi Generation
Qualcomm Wi-Fi 7 Day Brightens Outlook on Next Wi-Fi Generation Share This Post On September 14, 2023, Qualcomm held a Wi-Fi 7 Day event to detail key improvements in Wi-Fi 7, how they’re implementing it, and who they’re partnering with in this newNorth America Notebook PC Vendor & OS Unit & Value Market Share by Country: Q2 2023 Results
Notebook PC shipments in North America totaled 16.2 million units in the 2nd quarter of 2023, just a -2% decline versus the same period a year ago.Analysis Global 5G and mmWave Smartphone Shipments Forecast by Region to 2024
Analysis Global 5G and mmWave Smartphone Shipments Forecast by Region to 2024 Share This Post The 5G honeymoon period is over. Global 5G smartphone shipments growth will remain low in 2023 due to economic turbulence. Growth is stagnating due toGlobal 5G mmWave Smartphone Shipments Forecast by Vendor to 2024
5G mmWave is the fast high-frequency connectivity method that is part of the bigger 5G family. We expect the United Stated (US) and Japan to remain main advocates for mmWave in smartphone use; thus, we do not see mmWave penetrating to other regions anytime soon.Global 5G Smartphone Shipments Forecast by Vendor by Region to 2024
Apple’s share is slowly eroding but it will keep peak position through strong iPhone sales in 2023 and 2024. We forecast Samsung will retain second place globally in 2023 and 2024 followed by Xiaomi taking third position.Smartphone Vendor Market Share for 60 Countries : Q2 2023
Global smartphone shipments declined by -8% YoY in Q1 2023. The sixty countries tracked in this report accounted for 93% of these global shipments.VALUE SHARE: Global Smartwatch Revenue and ASP by OS by Price Tier : Q2 2023
VALUE SHARE: Global Smartwatch Revenue and ASP by OS by Price Tier : Q2 2023 Share This Post Global smartwatch revenues rose by 1% YoY during Q2 2023 due to rising ASPs, despite a 2% YoY drop in volumes as consumers reigned in discretionary spendingApple A-Series and M-Series Apps Processor Tracker Q2 2023: Chip Revenues Expected to Grow in 2023 Despite Volume Contraction
Apple's A-series and M-series applications processors (AP) revenue declined 4 percent year-on-year in Q2 2023. Apple's A-series APs are used in iPhone, iPad, Apple TV, iPod Touch and HomePod while the M-series APs are used in iPad and Mac devices.UK Handset Vendor Marketshare by Operator : Q2 2023
We estimate 4.8 million handsets were shipped in the UK in Q2 2023, dipping by -11% YoY. Apple kept no.1 handset vendor across all four major UK operators during the quarter, largely boosted by the strong demand of the iPhone 14 series. Samsung trailed Apple across four major UK operators during the quarter.Germany Handset Vendor Marketshare by Operator : Q2 2023
We estimate 5.0 million handsets were shipped in Germany in Q2 2023, declining by -19% YoY during the quarter. Apple captured the leading positions across all major carriers in Germany ahead of Samsung.Smartphone Memory Market Share Q2 2023: Demand Grows for UFS 4.0 and LPDDR5X Chips
The global smartphone memory market remained muted and recorded a revenue decline of 41 percent in Q2 2023. Despite the slowdown, memory content demand grew with increased Petabyte shipments.
Nuclei Achieves Top Auto Safety
Nuclei Systems, a Chinese RISC-V processor vendor, announced a licensable RISC-V CPU core certified for ASIL D automotive applications, the most stringent level.
Post IPO, Arm Faces New Challenges
Arm is publicly traded again, concluding a three-year effort by SoftBank to sell it. The IPO is a better outcome than if Nvidia had acquired it.
Micron LPDDR5 16 Gb Non-EUVL Chip Found in Apple iPhone 15 Pro
In a recent teardown of the Apple iPhone 15 Pro, TechInsights has discovered a remarkable find - Micron's cutting-edge D1β LPDDR5 DRAM chips. These chips mark the industry's first foray into the D1β generation, and they are nothing short of impressive.Deep Dive Teardown of the Tesla FSD Computer HW4.0 1960600 Automotive
The FSD Computer HW4.0 was the latest version of Tesla’s Self-Driving device. In comparison to the previous model analyzed by TechInsights, the HW4.0 costs three times the price of the last one, but the manufacturing cost only increased by ~32%.CXMT NA45B61GX8 D1x 22nm 8Gb DDR4 DRAM Memory Floorplan Analysis
This report (MFR) provides an analysis of the floorplan design used in the CXMT NA45B61GX8 D1x 22 nm 8 Gb DDR4 DRAM and includes an executive summary and supporting image sets optical, X-ray, SEM cross sectional, and SEM bevel imaging sets.
China is Turning up the Heat in this Cold Market (September Update)
The Chip Insider Graphics
Apple iPhone 15 Pro Teardown
TechInsights is thrilled to offer you an exclusive opportunity to uncover the secrets of Apple's latest marvel – the iPhone 15 Pro, equipped with the groundbreaking A17 Pro processor.Apple APL1V02 A17 Pro Processor TSMC 3nm FinFET Process Advanced CMOS Essentials – First Look
This first look report provides an early analysis of the structure and materials used in the manufacture of Apple A17 Pro (TSMC 3nm FinFET process).Car Audio Hardware & Software – Pursuit of Efficiency Driving Innovation
Car Audio Hardware & Software – Pursuit of Efficiency Driving Innovation Share This Post In TechInsights’ automotive audio hardware and software report, TechInsights forecasts that automotive Ethernet demand will grow by 16.7% between 2022 and 2030
VINATech Hybrid Capacitors used in the Samsung TV Remote Control
In the fast-paced world of consumer electronics, sustainability is the name of the game. In this blog, we'll explore the role of lithium-ion batteries (LIBs) and the rise of hybrid supercapacitors in making our gadgets more eco-friendly.AMD EPYC 9384X Processor TSMC SoIC Package Technology Advanced Packaging Quick Look Analysis
This report presents an advanced packaging quick look (APQ) of the AMD EPYC 9384X Processor. The EPYC 9384X is a 32-core/64-thread processor with 768 MB of cache memory enabled by AMD’s 3D V-Cache stacked die technology.Hybrid Technologies Legislation/Support - Electric Vehicles Service
Hybrid Technologies Legislation/Support - Electric Vehicles Service Share This Post This database has collated the various incentives and legislation used to promote the development, manufacture and sales of electric and hybrid vehicles. It focusesHybrid Technologies Legislation/Support - Powertrain, Body, Chassis & Safety
This database has collated the various incentives and legislation used to promote the development, manufacture and sales of electric and hybrid vehicles. It focuses on over 1,000 incentives and mandates, from Europe, North America and significant highlights from other regions.EV/HEV Technologies Supply & Fitment Database - Electric Vehicles Service
This database has collated the various developers and suppliers of starter-alternators, electric motor-generators and batteries for each battery electric, hybrid and fuel cell vehicle model that has been assembled since 1997, is being planned for future production or is being developed for possible future deployment.EV/HEV Technologies Supply & Fitment Database - Powertrain, Body, Chassis & Safety
This database has collated the various developers and suppliers of starter-alternators, electric motor-generators and batteries for each battery electric, hybrid and fuel cell vehicle model that has been assembled since 1997, is being planned for future production or is being developed for possible future deployment.Global Digital Media Streamer Forecast 2016-2028
The global market for digital media streamers is set for a second consecutive year of annual decline in 2023 as shipments are forecast to fall by 2.3%.Digital Health at Home Report Series: Chapter One: Optimizing Health, Fitness, & Wellbeing - Section 2 of 6: Body Composition & Health
In recent years, accelerated by the COVID-19 pandemic, digital health innovation in products and services has populated the medical and consumer industry simultaneously.Survey Plus Teardown of the Xiaomi Redmi Note 12 Turbo 23049RAD8C Smartphone
The Xiaomi Redmi 12 Turbo and Redmi 12 Explorer have similar sizes and 120 Hz AMOLED displays. They have common Front-End ICs like Qorvo’s QM77048E and QM77042.Survey Plus Teardown of the Xiaomi Redmi 12 23053RN02A Smartphone
Xiaomi releases different models of smartphones from different series every year. The offer includes both 4G and 5G models. One of the models that support the 5G standard is the Xiaomi Redmi Note 12 5G model released in 2022.MicroBT Whatsminer M56S++ Transistor Characterization
This report presents key DC electrical characteristics for logic NMOS and PMOS transistors located in the core region of the crypto-miner cryptocurrency ASIC die (KF1978) found inside the MicroBT KF1978E component.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics ReportDeep Dive Teardown of the Mobvoi TicWatch Pro 5 WH12088 Smartwatch
The Mobvoi TicWatch Pro 5’s main frame is made of Aluminum 7000, the bezel is made of Stainless Steel, and the bottom housing is made of a combination of Nylon and Fiberglass.Qualcomm BB91C Surface Acoustic Wave (SAW) Filter Die from the Qualcomm QPM7815 MHB FEM Process Analysis
This report presents an acoustic wave filter process analysis of the Qualcomm BB91C Surface Acoustic Wave (SAW) Filter Die, from the Qualcomm QPM7815 MHB front end module. The die and module were extracted from the Samsung Galaxy S23 Ultra 5G smartphone.
Webinar: Sustainability in the Semiconductor Industry: A TechInsights Perspective
Industries around the world are focused on ways to reduce carbon emissions in their own production processes but also in their supply chain. This is no different for the semiconductor industry which is heavily dependent on chemicals, power, and water to manufacturer the increasingly smaller process nodes.Goodix 0.036 MP, 7.45 μm Pixel Pitch, Front-Illuminated In-Display Fingerprint CMOS Image Sensor Device Essentials Folder
This report presents a device essentials folder (DEF) of the Goodix 0.036 MP, 7.45 μm Pixel Pitch, Front-Illuminated In-Display Fingerprint CMOS Image Sensor, extracted from the IQOO Z7 5G smartphone.
GlobalFoundries’ GTC 2023
GlobalFoundries’ GTC 2023 G. Dan Hutcheson The Chip Insider® Summary: GlobalFoundries’ GTC 2023: News out of last month’s GF Global Technology Conference in San Jose was quickly overtaken by this month’s events, including GF’s 450K 300mm WSPY fab
Arm Licenses Neoverse N2 Subsystem
Arm’s new licensable Neoverse CSS N2 provides an entire compute subsystem implemented and verified on TSMC’s 5 nm process. It may save customers months of work.
Inuitive’s NU4100 Targets 3D Sensing
Israeli startup Inuitive has released the NU4100 chip for 3D image processing. Incorporating the company's image-processing accelerators and technology from Arm and Synopsys, the chip targets autonomous machines, AR/VR, and the smarthome market.Convenient Power CPS4038 Power Management IC PMIC Process Analysis Summary
Convenient Power CPS4038 Power Management IC PMIC Process Analysis Summary Share This Post This report presents a PMIC process analysis (PEF) of the Convenient Power CPS4038 Power Management IC, extracted from the Samsung Galaxy S23 Ultra smartphoneIAA 2023: SoC Market Strategies Diverge in Centralized Architectures
TechInsights attended a series of discussions and demonstrations at the 2023 IAA Munich Auto Show by the main SoC (System-on-Chip) vendors, Mobileye, NVIDIA, and Qualcomm.IAA 2023: SoC Market Strategies Diverge in Centralized Architectures - Powertrain, Body, Chassis & Safety
TechInsights attended a series of discussions and demonstrations at the 2023 IAA Munich Auto Show by the main SoC (System-on-Chip) vendors, Mobileye, NVIDIA, and Qualcomm.Tablet Shipments, Revenue, Installed Base, and Penetration Forecast by Form Factor by 88 Countries 2010-2028: Q3 23 Update
The basic slate is still a favorite device for casual, lean-back activities such as watching videos, gaming, and social media; Detachable 2-in-1s have evolved into high performance PC replacements as versatility and mobility serves enterprise demand, prosumer demand, and now broader appeal to consumers with affordable, powerful Android and iPadOS options.Notebook PC Shipments, Revenue, Installed Base, and Penetration Forecast by 88 Countries 2010-2028: Q3 23 Update
From the Windows 10 commercial refresh to historic demand due to COVID work and school restrictions, the Notebook PC installed base has grown 29% in the last four years.Deep Dive Teardown of the Google Pixel GTU8P Tablet
The Google Pixel Tab (GTU8P) is Google’s latest tablet. It contains the Octa-Core Google Tensor G2 Applications Processor. Samsung made the die (S5P9855). Most of the Power Management chips were also made by Samsung.Deep Dive Teardown of the Athom B.V. Homey Pro HY0025 Smart Home
Supported technologies are Wi-Fi, Bluetooth Low Energy, Zigbee, Z-Wave-923 MHz, Z-Wave-914 MHz, Z-Wave-868 MHz, ISM/SRD-433 MHz, and Thread.Deep Dive Teardown of the Aqara Door and Window Sensor P2 DW-S02 Smart Home
The Aqara Door and Window Sensor P2 DW-S02 for opening doors and windows works on the principle of contact between a magnet (Include Accessory Unit NE#17 Magnet) and a controller (Include Main Unit IC#2 Hall Effect Sensor).Deep Dive Teardown of the Honor 90 REA-AN00 Smartphone
The Honor 90 5G Front Camera is a 50 MP CMOS BSI Sensor from Samsung (S5KJN1). The whole subsystem is 5.32 mm height, and the dimensions of the sensor housing are 8.85 x 8.24 mm.
SK hynix Powers Huawei Mate 60 Pro
As of our latest analysis, the Mate 60 Pro exclusively features SK hynix memory components. This blog breaks down the key findings along with exclusive images.
Analyzing the Global Apple iPhone Shipment Volume and Market Share
In this analysis, we'll delve into the data provided by WSS TechInsights, exploring key takeaways from the chart depicting Apple's iPhone shipment volume and market share from 2007 to a forecasted 2024.Qorvo EG9381 Bulk Acoustic Wave Solidly Mounted Resonator (BAW-SMR) Filter Die Acoustic Wave Filter Process Analysis
This report presents a process analysis (AFB) of the Qorvo EG9381 Bulk Acoustic Wave Solidly Mounted Resonator (BAW-SMR) Filter Die from the Qorvo QPQ5600 Band-Pass Filter.
Apple's New iPhone 15 Series
Apple is back with its latest smartphone lineup, and it's a quartet of impressive devices - the iPhone 15, 15 Plus, 15 Pro, and 15 Pro Max.Sony IMX715, 1/2.8” Format, 8.4MP, 1.45μm Pixel Pitch, Stacked Back-Illuminated (STARVIS Exmor RS) CMOS Image Sensor Device Essentials Folder
This report presents a device essentials analysis (DEF) of the Sony IMX715, 1/2.8” Format, 8.4 MP, 1.45 μm Pixel Pitch, Stacked Back-Illuminated (STARVIS Exmor RS) CMOS Image Sensor, extracted from the QHYCCD QHY5III715C Astronomy Camera.Central & Eastern Europe Connected TV Device Vendor Market Share Q2 2023
This report provides quarterly shipment and installed base vendor shares globally across the four Connected TV Device categories of Smart TVs, Smart Blu-ray players, IP-enabled Game Consoles and Digital Media Streamers.Central & Latin America Connected TV Device Vendor Market Share Q2 2023
This report provides quarterly shipment and installed base vendor shares globally across the four Connected TV Device categories of Smart TVs, Smart Blu-ray players, IP-enabled Game Consoles and Digital Media Streamers.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics ReportApple iPhone 15 Series: USB Type C Arrives
Apple has introduced four new smartphones models - iPhone 15, 15 Plus, 15 Pro, and 15 Pro Max in it’s wanderlust event on 12th September 2023. The two new Pro models are equipped with the new powerful A17 Bionic chipset (3nm), titanium frame and more compared to regular iPhone 15 and 15 Plus.Middle East Africa Connected TV Device Vendor Market Share Q2 2023
Shipments of Connected TV Devices across the Middle East Africa region grew by just 0.1% in Q1 2023 as growth in demand for media streamers and game consoles was offset by a small decline in Smart TV sales.LTE / LTE-Advanced Handset Vendor Shipments by Country : Q2 2023
LTE-Advanced market has continued to show a descending curve as more 5G smartphones are shipped to major countries since last year. 5G dynamics have substantially started to change 4G dynamics. In Q2 2023, India has become the biggest LTE-A handset market in the world for 12 quarters in a row, by volume.USA Connected TV Device Vendor Market Share Q2 2023
The shipments of connected TV devices in the USA grew by 3% to 19 million in Q2 2023, driven by 11% year-on-year growth in the gaming consoles category and 6% year-on-year growth in the Smart TV category, despite macroeconomic fluctuations and high inflation.Asia Pacific Connected TV Device Vendor Market Share Q2 2023
Connected TV Device shipments in Asia Pacific grew by 6% in Q2 2023. This was driven by a very large 58% increase in IP-enabled Games Console shipments, in turn driven by Nintendo's 76% YoY growth on the back on the latest Zelda game release.North America Connected TV Device Vendor Market Share Q2 2023
The shipments of connected TV devices in North America grew by 3% to 21 million in Q2 2023, driven by 9% year-on-year growth in the gaming consoles category and 6% year-on-year growth in the Smart TV category, despite macroeconomic fluctuations and high inflation.Western Europe Connected TV Device Vendor Market Share Q2 2023
Western European shipments of connected TV devices declined by 4% to reach 11.8 million in Q2 2023 as the Smart TV market falls for the 8th consecutive quarter while Gaming Consoles and Digital Media Streamers remained stagnant.Global Connected TV Device Vendor Market Share Q2 2023
Global shipments of TV streaming devices grew by 4% year-on-year(YoY) during Q2 2023 driven by a healthy 19% YoY growth in Gaming Consoles and 4% (YoY) growth in Smart TV category despite high inflation and macro economic uncertainties.
Order Activity is Improving, Despite the Cold Temperatures
Order Activity is Improving, Despite the Cold Temperatures Shereen Vaux Order activity for semiconductor equipment increased to 54 °F; generative AI remains a bright spot as capacity constraints remain for CoWoS. August sales are in from theOmniVision OX03D4C, 1/345″ Format, 3.0MP Resolution, 2.1μm Pixel Pitch, Stacked Back-Illuminated CMOS Image Sensor Device Essentials Folder
This report presents a device essentials (DEF) of the OmniVision OX03D4C, 1/345″ Format, 3.0MP resolution, 2.1μm pixel pitch, stacked back-illuminated CMOS image sensor. A stacked system-on-chip (SoC) image sensor with HDR, LFM capability, RCCB CFA, 140dB, and low power consumption below 500 mW.Deep Dive Teardown of the Hewlett Packard Enterprise HPE MU NVMe SCN 1.6TB MO001600KXAVT SSD
Deep Dive Teardown of the Hewlett Packard Enterprise HPE MU NVMe SCN 1.6TB MO001600KXAVT SSD Share This Post The HPE MU NVMe SCN 1.6TB SSD features a PCIe 4.0 x4 with NVMe. The SSDs in this series are also available in capacities of 800, 1600, 3200Qualcomm QCC5181 Bluetooth 5.4 Audio SoC Basic Floorplan Analysis
This report presents a Basic Floorplan Analysis of the Qualcomm HG11-CH383-210 found inside Qualcomm QCC5181.
Apple iPhone 15 RF Front-End: A Familiar Face
Anticipation for Apple's iPhone 15, launching on September 12, 2023, is soaring. However, when it comes to RF Front-End technology, don't expect groundbreaking innovations, except for a possible Antenna-in-Package upgrade.
Assessing the Value of Sustainable Silicon Carbide Technology
In the quest for sustainability and greenhouse gas reduction, power electronics have emerged as a critical player, with silicon carbide (SiC) at the forefront of innovation.
While Order Activity Remains Cold, Improvements Abound
While Order Activity Remains Cold, Improvements Abound Shereen Vaux Order activity for semiconductor equipment jumped to 53 °F, and it continues to get a boost from the uptick in demand from generative AI where CoWoS capacity remains a bottleneckWireless Operator Performance Benchmarking Q2 2023
Mobile operator service revenue increased 4.1% in Q2 2023, with strong cost management helping to keep OPEX flat; the best cost performance since the start of the war in Ukraine.Global Connected TV Device Vendor and Streaming Platform Market Share Q2 2023
Global shipments of TV streaming devices grew by 5% year-on-year during Q2 2023 driven by a strong performance of Game Consoles while shipments of both Smart TV and Digital Media Streamers grew at much lower pace.Global Digital Media Streamer Vendor Market Share Q2 2023
Global shipments of streaming media players fell by 1% year-on-year during Q2 2023 as market conditions remain challenging due to persistently high inflation and a supply chain that is still in the throes of recovery.Global Game Console Vendor and Model Market Share Q2 2023
Global Smart TV shipments increased by 4% in Q2 to reach just over 40.5 million units. Europe was the worst performing region as the Russia/Ukraine conflict continues to have a negative impact on shipments in the region.Global Smart TV Vendor and OS Market Share Q2 2023
Global Smart TV shipments increased by 4% in Q2 to reach just over 40.5 million units. Europe was the worst performing region as the Russia/Ukraine conflict continues to have a negative impact on shipments in the region.MicroBT Whatsminer M56S++ ASIC Miner Samsung SF3E (Formerly 3GAE) GAA Process Digital Floorplan Analysis
This report provides an analysis of the floorplan design used in the MicroBT Whatsminer M56S++, manufactured in Samsung's 3nm GAA process technology and includes an executive summary and supporting image sets SEM cross sectional and bevel imaging sets.India : Smartphone Shipments & Marketshare by Model: Q2 2023
India is the world's second-largest smartphone market. It is one of the fastest-growing countries on the planet, with a rising middle class and vibrant competition among device suppliers. Our extensive report shows the top-200 best-selling smartphone models in India in the second quarter of 2023.Tablet Vendor & OS Unit & Value Market Share by Region: Q2 2023 Results
The global tablet market fell -22% year-on-year due to continued poor economic conditions constraining consumer budgets from buying electronics, a severely distressed European market, continued war in Ukraine, and a hangover in tablet demand from the COVID boom.VALUE SHARE: Global Smartwatch Revenue and ASP by Vendor by Price Tier : Q2 2023
Global smartwatch revenues rose by 1% in Q2 2023 due to rising ASPs despite shrinking volumes caused by slumping consumer demand amid macroeconomic weakness and geopolitical uncertainty.Samsung 512 Gb 176L TLC 3D NAND from the Samsung MZ-V9P1T0 990 Pro SSD
The following is an Internal Waveform Overview containing the program, read, and erase waveforms for the Samsung 512 Gb 176L triple-level-cell (TLC) 3D NAND flash memory device (die markings: K9AHGD8U0D).
Xeon Will Reach 144 Cores Next Year
Intel plans to introduce two server processors in 2024, Granite Rapids and Sierra Forest, using chiplets to boost the number of CPUs and deliver new DRAM and I/O capabilities.
Silicon Labs Hits the Sidewalk
Silicon Labs’ EFR32G23 and -28 support Amazon’s Sidewalk protocol. One transmits using subGHz FSK; the other FSK and Bluetooth. The company provides the full software stack.
NeuPro-M Enhances BF16, FP8 Support
Ceva’s updated NeuPro-M artificial-intelligence accelerator can better handle floating-point and INT4 data, enabling developers to use different types at different neural-network layers to improve performance with less impact on accuracy.
Ceramic Electrostatic Chucks - September 2023
Ceramic Electrostatic Chucks for semiconductor manufacturing equipment reached new heights in 2022. Growth was driven by new equipment shipments as well as high replacement rates primarily in etch.
Huawei Mate 60 Pro: Re-energizing Resilience in the China Smartphone Market
The Mate 60 Pro rejuvenates Huawei's flagship Mate series, packed with powerful hardware upgrades. From camera enhancements to improved display durability and dynamic island-like punched holes, it promises to be a game-changer. But what has caught our attention is the talk about 5G capabilities.UX Benchmark: 22MY VW ID.5
TechInsights conducted an evaluation of the infotainment system in the 22MY VW ID.5. Volkswagen have created a playful user experience with some serious technology in the ID.5 provided by the AR HUD when fitted which should be appealing to consumers considering a BEV.Global Wearables Shipment Forecast by Type by Vendor by Quarter : 2017 to 2024
TechInsights predicts global wearable shipments will grow by 3.6% in 2023, and by 6.5% in 2024, a notable improvement after a 6% decline in 2022 due to steep declines in fitness bands and slowing growth for smartwatches, only partly offset by feature watch and hearables growth.Notebook PC Vendor & OS Unit & Value Market Share by Region: Q2 2023 Results
Notebook PC shipments declined -13% in the second quarter of 2023 versus the same period a year ago and totaled 47.6 million. While the PC market has continued to contract versus its high point during the COVID era, there are early signs of stabilization.
SMIC 7nm N+2 in Huawei Mate 60 Pro - Uncovering the innovation inside the chip
Following the weekends breaking news by TechInsights, our Disruptive Event Briefing is now available to access for FREE (Registration Required).
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics ReportDeep Dive Teardown of the GosuncnWelink LTE Module ME3630-WC3C Wireless Module
The GosuncnWelink module is a 4G wireless communication module. While it supports the GSM, CDMA, W-CDMA, TD-SCDMA, LTE, and TD-LTE it also features integrated GPS for positioning. Is designed for globally deployed mobile devices including industrial routers, industrial PDAs, PCS tablets and digital signage.China: Cockpit SoCs – Related Trends and Key Players
TechInsights compared six cockpit system-on-chips (SoCs) from five Chinese domestic cockpit SoC suppliers and conducted a gap analysis by comparing the performance of these SoCs with Qualcomm’s mainstream cockpit SoCs.China : Smartphone Shipments & Marketshare by Model: Q2 2023
China is the world's largest smartphone market. It delivers high volume and revenue to device makers, component suppliers and operators. Our extensive report shows the top-100 best-selling smartphone models in China in the second quarter of 2023.Global Smartphone Monthly Shipments (Sell In), Sales (Sell Through) and Inventory Variance by Vendor: August 2023
TechInsights tracked global smartphone shipments (sell in) went down -6% YoY in July 2023, while global sales (sell through) declined -8% YoY. Global smartphone market continued to suffer from the mixed demand associated with continued macroeconomic headwinds and uncertainties, weaker-than-expected rebound in China after its re-opening, and prolonged geo-political tensions across the globe.Deep Dive Teardown of the Continental Mercedes EQS Control Unit NTG7 PREMIUMPLUS LFT2 Automotive
The MBUX NTG7 is a control unit used in various Mercedes-Benz car models. The unit used in this analysis is the NTG7 Premium+ LFT2 sourced from 2023 Mercedes EQS – a battery-electric luxury liftback.Deep Dive Teardown of the boAt Ultima Call Max Smartwatch
Compared to the Apple Watch SE/Watch 8 series, Samsung Watch, and Galaxy Watch, the boAt Ultima Call Max is the only TFT display technology device. The display has a 2" diagonal (the biggest, compared to competitors), with a 95% screen-to-body ratio.Smartphone Display Panel Market Share Q2 2023: China Panel Vendor OLED Share Exceeds 20 Percent
The global smartphone display panel market revenues declined 7 percent year-over-year in Q2 2023. The weak demand for LCD panels pulled down the market.Global Wearables Microvendor Market Share : Q2 2023
A huge number of second-tier MICROVENDORS are playing a meaningful role in the global wearables market, flooding the market with new, niche, and segmented offerings.Global Smartphone Shipments Forecast by Vendor by Region by Quarter
TechInsights has lowered smartphone forecast numbers in 2023 and 2024, considering the mixed China market after re-opening, on-going geo-political tensions, and macroeconomic headwinds. Global smartphone shipment is expected to fall -5% YoY in 2023, followed by a rebound of +4% YoY in 2024.Global Handset / Smartphone / Feature Phone Sales Forecast for 88 Countries : 2007 to 2028
TechInsights has lowered handset and smartphone sales forecast numbers from 2023 onwards due to the uncertain China demand after re-opening, geo-political tensions, and macroeconomic headwinds across the globe. We expect global handset and smartphone will continue the downturn track in 2023.2023 Vehicle Package Payment Models: Will subscription payment model help to improve user interest and long-term opportunity?
In-car package has improved since the evolution and adoption of electric vehicles resulting in consumers spending a lot more money and time in the car. However there are still a number of things that require attention for delivering better user experience and improvements that are suitable for all users.Automotive Semiconductor Industry Performance Snapshot: Q2 2023
The TechInsights Auto Semi Index maintained its growth trajectory growing by over 5 percent quarter-on-quarter. Strong performers included onsemi, Micron and STMicroelectronics, while results from Infineon, NXP, Rohm and Texas Instruments were below the industry average.Baseband Market Share Tracker Q2 2023: 5G Baseband Growth Stalls in Dismal 2023
The global cellular baseband processor market declined 25 percent year-on-year in Q2 2023 as the industry weathers through the 3rd consecutive quarter of market contraction. All major baseband vendors continue to experience OEM inventory adjustments which are expected to continue in the short term.RF GaAs Device Forecast and Outlook: 2022 - 2027 (Data Tables)
The RF GaAs device revenue declined in 2022 amid headwinds from persistent supply chain issues, geopolitical tensions, and a high inflationary macro environment. Total RF GaAs device revenue (merchant and captive, excluding foundry) was worth about US$8 billion in 2022, a decrease from 2021.Opportunities for Innovation for Fiber-to-the-Premises
An Innovation is a Novel and Viable Solution to a Practical Problem. The world’s fixed Telecom operators are transitioning their networks from copper to FTTP to meet the present-day needs of consumers and businesses, and to reduce their energy consumption and operating expenses.UX Benchmark: 23MY Hyundai Ioniq 6
TechInsights conducted an evaluation of the infotainment system in the 23MY Hyundai Ioniq 6. Hyundai has been bold with the exterior design of their EVs and aimed to create an equally compelling user experience with the single-surface display that contains the instrument cluster and touchscreen.Deep Dive Teardown of the Honor Magic5 Pro PGT-N19 Smartphone
The Honor branded C1 RF Enhance Chip does not support analogue radio frequency signals processing. Honor’s self-developed chip could take part in hardware optimization to allow better connectivity.Wisol RG55FSE_MP1 Surface Acoustic Wave (SAW) Filter Die SFML5SDK001 MB/HB FEM Process Analysis
This report presents an acoustic filter process analysis (AFS) of the Wisol RG55FSE_MP1 Surface Acoustic Wave (SAW) Filter Die SFML5SDK001 MB/HB front end module (FEM), extracted from the Samsung Galaxy S23+ 5G smartphone.
Huawei Strikes Back
Huawei Strikes Back G. Dan Hutcheson The Chip Insider® Summary: Huawei Strikes Back: Huawei launched a preemptive challenge to Apple’s expected iPhone 15. It’s a 1-2 punch, with the second blow landing on Western geopolitical barriers. It’s called
TechInsights Finds SMIC 7nm (N+2) in Huawei Mate 60 Pro
TechInsights, the semiconductor industry's leading information platform, conducted an analysis of the Huawei Mate 60 Pro smartphone and uncovered groundbreaking evidence of SMIC's 7nm (N+2) technology, marking a significant milestone in China's domestic design and manufacturing capabilities.
Samsung and TSMC Head for 2 nm
Samsung and TSMC are forging ahead with 3 nm and 2 nm silicon processing as well as 3D-packaging technologies. Globally dispersed fab construction continues for old and new nodes.
Semidynamics Goes Four Ways
Semidynamics’ new Atrevido 423 CPU brings the company into RISC-V competition with other four-issue licensable cores. Deep customizability and the Gazzillion memory-request queue remain the primary differentiators.
Cadence Revs V8 Tensilica Xtensa
The customizable Tensilica Xtensa LX8 adds an L2 cache and branch-target buffer to boost performance. An improved DMA engine should increase performance on AI and other applications.
TechInsights Confirming SMIC N+2 7nm in Huawei Mate 60 Pro
Efforts are underway to verify whether the application processor being used represents SMIC's most recent generation process node. This marks an advancement from the N+1 7nm node identified by TechInsights in July 2022 within the MinerVA7 Bitcoin Miner.
Navigating the Evolution of Lithium-Ion Batteries in Consumer Electronics
Lithium-ion batteries power a wide range of devices, from smartphones to laptops. As electronics get smaller and demand higher energy capacities, designing the ideal battery solution becomes increasingly challenging.
Signs of a Soft Landing in 2H23 Abound
Signs of a Soft Landing in 2H23 Abound Shereen Vaux Order activity for semiconductor equipment jumped higher to 50 °F as the 2H23 outlook for equipment suppliers is shaping up to be better than feared. The improvement in 2H23 is driven by China
TechInsights' Semiconductor Analytics Report
Semiconductor Sales Eked Up 1% Last Week and Were Down 9% From This Time Last YearADAS Supply & Fitment Database
The ADAS Supply and Fitment Database details around 11,300 ADAS applications on production vehicles, including Autonomous Cruise Control, Emergency Braking, Pre-Crash Safety, Park Assist, Lane Departure Warning, Blindspot Monitoring, Adaptive Front Lighting and Night Vision, as well as systems currently being developed but not yet deployed.ADAS Semiconductor Demand Forecast - Aug 2023
This market forecast highlights the growth opportunities for semiconductors used in Advanced Driver Assistance Systems (ADAS). This update has a more positive outlook for vehicle production in 2023, but overall a similar longer-term vehicle output picture.Japan : Smartphone Shipments & Marketshare by Model: Q2 2023
Japan is the world's third largest smartphone market by value. It delivers high revenues to device makers, component suppliers and operators. Our extensive report shows the top-50 best-selling smartphone models in Japan in the second quarter of 2023.Automotive Infotainment Processor Market Competition Heats Up
For the last several years semiconductor companies with a major presence in the mobile market have turned to the automotive market to generate new revenue streams. TechInsights forecasts the infotainment application processor market segment will grow from USD 1.348 billion in 2022 to USD 2.761 billion in 2030.Deep Dive Teardown of the Vivo X90 Pro+ V2227A Smartphone
There are many similarities when comparing Vivo X90+ to the Samsung S23 series. Each of these phones (S23, S23+, S23 Ultra, and Vivo x90 Pro+) feature Qualcomm’s Snapdragon 8 Gen 2 processor.Autonomous Vehicle Trials Database
This database covers the key companies, government bodies and cities which are trialing autonomous vehicle technologies and infrastructures for further research and development.ADAS Supply & Fitment Database
The ADAS Supply and Fitment Database details around 11,300 ADAS applications on production vehicles, as well as systems currently being developed but not yet deployed.Global Bluetooth TWS Shipments by Region : Q2 2023
Apple remains the shipments leader in Bluetooth True Wireless Stereo (TWS) headsets. AirPod Shipments declined YoY in Q2 2023. Overall TWS headsets stagnated YoY.Global Bluetooth TWS Shipments, Revenues and ASP by Price Tier by Vendor : Q2 2023
Apple remains the shipment and revenue leader in Bluetooth True Wireless Stereo (TWS) headsets in Q2 2023. Apple's share is being eaten by rivals from India and China.Global Cellular Smartwatch Vendor Market Share : Q1 2017 to Q2 2023
Global cellular smartwatch shipments grew +12% YoY in the second quarter of 2023, despite a modest total smartwatch market decline, as cellular penetration rose due to the Apple Watch Ultra and Google Pixel Watch.Survey Plus Teardown of the Vivo Y78 V2278A Smartphone
The display has a 6.6" TFT screen with a 120 Hz refresh rate and a touchscreen controller integrated into the display driver from Novatek. The battery had a 4900 mAh capacity.Samsung Exynos 5300 5G Modem Samsung 4nm FinFET Process Digital Floorplan Analysis
This report presents a digital floorplan analysis (DFR) of the floorplan design used in the Samsung Exynos 5300 5G Modem and includes an executive summary and supporting image sets SEM cross sectional and bevel imaging sets.Survey Plus Teardown of the Xiaomi Redmi A2 23028RNDI Smartphone
The Xiaomi Redmi A2 23028RN4DI is a low-budget phone from Xiaomi’s Redmi A series. It's equipped with the Mediatek Octa-Core Helio G36. It leads in terms of price because it has three cameras: 8 MP rear camera, 0.08 MP rear depth camera, and a 5 MP front (selfie) camera.
Public-Private Partnerships in Semiconductors: Do they work?
Public-Private Partnerships in Semiconductors: Do they work? G. Dan Hutcheson The Chip Insider® Summary: Public-Private Partnerships in Semiconductors: Do they work? I recently had the honor of addressing the National Academies of Sciences
Ericsson and Nokia 5G Base Station volume and massive MIMO capabilities
Even as several EU regulators are debating closing the European market to the largest Chinese 5G base station suppliers, European vendors are winning an increasing share of new base station awards in China.Smartphone Image Sensor Market Share Q2 2023: Sony Semiconductor Leads the Growth
The global smartphone image sensor market grew annually to cross USD 3 Billion in Q2 2023. The market saw demand for high-end CIS products that aided in the growth.Analysis: Global TWS Shipments by Region and Price Tier Q2’2023
TechInsights reports that global TWS shipments grew slightly annually in Q2 2023 despite global geopolitical and economic turbulence. Apple remains the top vendor. Indian market continues to grow keeping boAt at top three.Global Bluetooth TWS Shipments, Revenues and ASP by Price Tier by Vendor : Q2 2023
Apple remains the shipment and revenue leader in Bluetooth True Wireless Stereo (TWS) headsets in Q2 2023. Apple's share is being eaten by rivals from India and China.Global Bluetooth TWS Shipments by Region : Q2 2023
Apple remains the shipments leader in Bluetooth True Wireless Stereo (TWS) headsets. AirPod Shipments declined YoY in Q2 2023. Overall TWS headsets stagnated YoY.Global Fitness Band Vendor Market Share by Region : Q2 2023
Global Fitness Band shipment declines re-accelerated in Q2 2022, tumbling by over 40% once again during the quarter. This comes after volumes dropped just 12% in Q1 2023, after collapsing by roughly 40% for the four prior consecutive quarters.
Kalray Speeds Up Its Data Processor
Kalray's Coolidge v2 chip targets network processing for the storage market. It’s based on the company’s massively parallel processor array (MPPA) architecture; it’ll enter production in 2024.Q2 ’23: HMD (Nokia): Highest Ever Handset ASP
HMD (Nokia) delivered high handset wholesale ASP ever in Q2 2023. First time after fourteen quarter with continuous declining total handset volumes, HMD (Nokia) shows double digit growth rate, a result of the rising smartphone segment.United Kingdom : Smartphone Shipments & Marketshare by Model: Q2 2023
The United Kingdom is Western Europe's second largest smartphone market. It delivers high volume and revenue to device makers, component suppliers and operators.
Ambiq Microcontrollers Get Healthy
Ambiq’s Apollo4 Lite and Blue Lite target lower-cost fitness bands that require less graphics and display processing. Power is less than that of competitors, although pricing is higher.Analysis : India Smartphone Market Share by Channel by Vendor : Q2 2023
India Smartphone market declined by -5% annually in Q2 2023. Vivo, Xiaomi and Samsung were the top three vendors. Offline channels held the upper hand in the second quarter of the year too.Canada Smartphone Vendor Marketshare by Operator : Q2 2023
Smartphone shipments in Canada contracted by double-digits in Q2 2023 compared to the year-ago quarter, with just one of the leading vendors seeing shipments improving year-on-year.Global Smartwatch OS Market Share by Region : Q2 2023
Global smartwatch shipments declined for the third consecutive quarter during Q2 2023, after Q4 2022 marked the first YoY decline since 2016 as consumers reigned in discretionary spending causing a lengthening replacement cycle amid macroeconomic weakness.Q2 ’23: Transsion: Nudged Up the Fifth Largest Smartphone Vendor Globally
Transsion nudged up the fifth position with 9% market share. It is the first time the Chinese brand entered the top five list by smartphone shipments growing +31% YoY.
Five Companies Collaborate on RISC-V
Bosch, Infineon, Nordic, NXP, and Qualcomm are investing in a joint venture to advance RISC-V. Initially focusing on the automotive industry, the venture could address RISC-V’s fragmentation and accelerate its adoption.Q2 ‘23: Xiaomi: Soft in Asia Pacific
Synopsis: Xiaomi’s smartphone shipments fell -16% YoY in Q2 2023. Though its performance improved from a quarter ago, Xiaomi still posted decline in most regions with its smartphone operations.Survey Plus Teardown of the Oppo A78 5G CPH2495 Smartphone
Released in January 2023, the Oppo A78 (CPH2495) is equipped with MediaTek’s Octa-Core Dimensity 700 Applications/Baseband Processor #MT6833V, made in 7nm technology, while in the A1 5g Qualcomm's Octa-Core Snapdragon 695 was used.Deep Dive Teardown of the Xiaomi Pad6 Pro 23046RP50C Tablet
Xiaomi also provides a multi-touch TFT display compared to the Apple iPad Pro A2437 and iPad 10th Gen A2757. Based on the 45 white LEDs backlight scheme design is like the one in iPad 10th Gen A2757 (featuring 40 LEDs).Deep Dive Teardown of the Neoway 5G Module N511-CA Wireless Module
The Neoway N511-CA module emerges as a 5G wireless communication module introduced to cater to the worldwide market. This module is proficient in facilitating both the 5G standalone network (SA) and non-standalone network (NSA) network architectures.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
SK hynix 321-Layer 4D NAND
The recent Flash Memory Summit (FMS) 2023 provided several interesting updates —one is the SK hynix 321-L 4D NAND (3D NAND with peri under cell) prototype.Amperex Technology Limited HB526881EHC Lithium-Ion Battery (Honor Magic 5 Ultimate) Battery Essentials
This report presents a battery essentials analysis (BEF) of the Amperex Technology Limited HB526881EHC Lithium-Ion Battery, extracted from the Honor Magic 5 Ultimate smartphone.Global Smartwatch Vendor Market
Global smartwatch shipments declined for the third consecutive quarter during Q2 2023, after Q4 2022 marked the first YoY decline since 2016 as the smartwatch space begins to mature and consumers reign in discretionary spending causing a lengthening replacement cycle amid macroeconomic weakness.Global ADAS and AV Regulation
The lack of global, harmonized, government legislation for advanced driver assistance systems (ADAS) and Autonomous Driving (AD) solutions will add cost, complexity and will delay life-saving technology from reaching the market.Japan Handset Vendor Marketshare by Operator : Q2 2023
Japan handset shipments declined by -7% annually in Q2 2023. As usual, Apple continued to capture top positions through all local Japanese operators except for Rakuten Mobile.NXP Semiconductors 88Q9098 Wi-Fi 6/BT 5.3 Automotive SoC Floorplan Analysis (IoTB)
This report presents a Basic Floorplan Analysis (BFR) of the Marvell TRP_4.1.7.T die found inside the NXP Semiconductors 88Q9098 component.Success in competitive Chinese market accelerates Ericsson and Nokia 5G Base Station volume and massive MIMO capabilities
Success in competitive Chinese market accelerates Ericsson and Nokia 5G Base Station volume and massive MIMO capabilities.Global Smartwatch ASP & Revenue Forecast by 88 Countries: 2012 to 2028
Global smartwatch revenues will increase a reasonable +7% in 2024, impacted by persistent economic uncertainty. Unit volumes and revenue keep on rising thru 2028, but prices are dipping from this year onwards through 2028.USA Smartphone Vendor Marketshare by Operator : Q2 2023
Smartphone shipments in the United States were sharply down on annual basis in Q2 2023. Apple was number one in hardware and Android led in software, with Samsung leading in the Android space.India Smartphone Market Share by Channel by Vendor : Q2 2023
India Smartphone market declined by -5% annually in Q2 2023. Vivo, Xiaomi and Samsung were the top three vendors. Offline channels held the upper hand in the second quarter of the year too.Q2 ’23: Lenovo-Motorola: Eyes Premium Including Foldables
Lenovo-Motorola’s global smartphone shipments declined -14% YoY in Q2 2023. We believe this is due to the weakened demand and inventory adjustments.USA Handset Vendor Marketshare by Operator : Q2 2023
Handset shipments in the United States slumped year-on-year in Q2 2023. Apple led across major carriers, followed by Samsung, which led Android, followed by Motorola, and TCL-Alcatel.Global Wearables Vendor Market Share : Q2 2023
The race for the top wearables spot continues as Apple, Huawei, and Samsung battle it out. Global wearables shipments declined for the third consecutive quarter in Q2 2023 amid continued economic weakness and geopolitical uncertainty, causing a lengthening replacement cycle and limiting consumer spending.Global Game Console Forecast 2012-2028
Global game console shipments declined by -4.7% to reach 40.8 million units in 2022 due to supply chain constraints and macro economic uncertainties.
What’s Powering the NVIDIA H100 Artificial Intelligence Accelerator?
The NVIDIA H100 is redefining AI performance, but with great power comes great energy demands.Deep Dive Teardown of the Continental Surround View Camera SVC210 Automotive Camera
Four SVC210 Continental Surround View Cameras are connected to provide a 360° view around the vehicle. The camera’s refresh rate is 60 frames per second with a resolution of 1.3 MP. The four connected cameras are used in the car for automatic assisted driving and parking systems.
Biden’s Latest Restrictions Against China
TechInsights does not see US President Joe Biden’s latest tech investment restrictions against China having a meaningful impact on current Chip Market Research Services forecasts.
Keywords and Takeaways from FMS 2023
The Flash Memory Summit (FMS) 2023, showcased the latest advancements in semiconductor memory technologies.
Simpler x86 Coming Soon
Intel’s proposed x86-S instruction set removes several legacy features, simplifying CPU designs but breaking compatibility with some old software. We expect it to debut in processors in 2025.
Security Terms Cause Confusion
Security blocks performing similar functions may have different names depending on the context or industry; some of them are losing their specific meanings. A survey of alternatives allows us to sharpen understanding and communication.
APX is Biggest x86 Addition Since 64 Bits
Intel’s new APX extension adds registers and other features to the instruction set, and AVX10 will enable the company’s little CPUs to support the same vector-processing operations as its performance coresMicron 1Tb 232L TLC 3D NAND Flash Internal Waveform Overview
The following is an Internal Waveform Overview (IWO) containing the program, read, and erase waveforms for the Micron 1 Tb 232L triple-level-cell (TLC) 3D NAND flash memory device (die markings: B58R).Deep Dive Teardown of the NIO Adam Supercomputer P0211450AH Automotive
The NIO Adam Supercomputer is used in NIO’s ET5, an electric mid-size sedan. It is the DCU (Drive Control Unit) for the ADAS system, which is an important component of the vehicle's powertrain system.OmniVision OX05B1S, 1/2.5″ Format, 5.0MP Resolution, 2.2µm Pixel Pitch, Back-Illuminated Global Shutter CMOS Image Sensor Standard Floorplan Analysis
This report presents a standard floorplan analysis (FAR) of the OmniVision OX05B1S, 1/2.5″ Format, 5.0MP Resolution, 2.2µm Pixel Pitch, Back-Illuminated Global Shutter CMOS Image Sensor, suited for automotive applications.Deep Dive Teardown of the Final ZE8000 FI-ZE8DPLTW Wireless Earbuds
The Final ZE800 headphones are equipped with full-range speakers with external dimensions of 9.39 x 4.37. Both headphones are equipped with two Bluetooth and audio SoC chips from Qualcomm.VALUE SHARE: Global Smartphone Revenue, ASP and Profit by Vendor by Price
Global smartphone industry wholesale revenue declined -6% YoY due to smartphone shipments which fell -8% YoY in Q2 2023, while smartphone ASP grew marginally +2% YoY reaching the second lowest second quarter performance since 2020.Q2 ‘23: OPPO (OnePlus): The Third Largest Vendor by Smartphone Revenue
OPPO (OnePlus)’s smartphone shipments went down -2% YoY in Q2 2023. OPPO brand posted -11% YoY decline while OnePlus delivered solid +81% YoY growth rate.Uber Attains Net Profitability. Lyft Takes Steps to Secure Survival
Uber’s milestone gains were driven by its cross-selling strategy. Lyft also garnered higher revenue and more active riders, mainly through competitive pricing.Advanced Driver Assistance Systems Forecast - Presenter - Aug 2023
This presentation file highlights the growth opportunities for Advanced Driver Assistance Systems (ADAS) at both a system and sensor level. This update has a more positive outlook for vehicle production in 2023, but overall a similar longer-term vehicle output picture.SmartSens ISP from SC101AP, 1.0MP Resolution, 2.90μm Pixel Pitch, Front-Illuminated CMOS Image Sensor Standard Floorplan Analysis
This report presents a standard floorplan analysis (FAR) of the SmartSens ISP from SC101AP, 1.0MP Resolution, 2.90μm Pixel Pitch, Front-Illuminated CMOS Image Sensor, suited for automotive applications.Advanced Driver Assistance Systems Forecast - Presenter - Aug 2023
Advanced Driver Assistance Systems Forecast - Presenter - Aug 2023 Share This Post This presentation file highlights the growth opportunities for Advanced Driver Assistance Systems (ADAS) at both a system and sensor level. This update has a more
2023 Global Smart Home Devices Forecast 2018-2028 - August 2023
Consumer spending on smart home related hardware will reach $61.8B in 2023, essentially the same as our December 2022 forecast of $61.7 billion. Our forecast was adjusted back in December to account for the current challenges to major global economies which negatively impact our forecast for the next two to three years.USA : Smartphone Shipments & Marketshare by Model: Q2 2023
The United States is the world's most influential smartphone market. It delivers high volume and revenue to device makers, component suppliers and operators. Our extensive report shows the top-50 best-selling smartphone models in the United States in the second quarter of 2023.Global Handset Vendor Marketshare for 15 Countries : Q2 2023
Global handset shipments declined significantly again by -12% annually in Q2 2023, still impacted by many unfavorable issues including sluggish consumer demand, geo-political issues, and rising inflation across the world.Deep Dive Teardown of the Kwikset Halo Touch FP WiFi Smart Lock B08DYZCW3S Smart Lock
The Kwikset Halo Touch FP WiFi Smart Lock model B08DYZCWJB is a door lock that offers three ways to open the outside door: the Halo Lock app, the capacitive fingerprint sensor and a standard lock key.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
VanChip's Versatile Power Amplifier Module: Inside 42 Chinese Handset Models
Mobile RF 60 second insightSony IMX675, 1/2.8" Format, 5.12MP Resolution, 2.0μm Pixel Pitch, Stacked Back-Illuminated CMOS Image Sensor with STARVIS 2 Technology Device Essentials Summary
This report presents a device essentials summary (DEF) of the Sony IMX675, 1/2.8" Format, 5.12MP Resolution, 2.0μm Pixel Pitch, Stacked Back-Illuminated CMOS Image Sensor with STARVIS 2 Technology. The CIS features 30% less power consumption compared to its predecessor the IMX335.Global Foldable Display Smartphone Vendor Market Share by Design Type: Q2 2023
Samsung was the leader in foldable display smartphone shipments in Q2 2023, followed by Huawei and vivo. Shipments grew double digits YoY but declined QoQ due to ongoing geopolitical and economic turbulence and Samsung's softness.
China Surpasses USA to Lead iPhone Sales in Q2 2023
Based on recent findings from TechInsights, the second quarter of 2023 witnessed a global downturn in smartphone shipments, experiencing an 8% Year-over-Year (YoY) decline to reach a total of 269.2 million units.Global Foldable Display Smartphone Vendor Market Share by Region for Q2 2023
Samsung was the leader in foldable display smartphone shipments in Q2 2023, followed by Huawei and vivo. Shipments grew YoY but declined QoQ due ongoing geopolitical and economic turbulence and Samsung's softness.Analysis: Global Foldable Display Smartphone Shipments by Region and Type Q2’2023
TechInsights reports that foldables remain a niche segment, and while Samsung holds a narrow lead, competition is already threatening its dominance. Huawei and OPPO are rapidly closing in on Samsung, while other new entrants are making the foldables market more competitive.Wired & Wireless Connectivity 2023 – Precision and Power
In the latest update to TechInsights’ in-vehicle wired and wireless device connectivity technology report, TechInsights forecasts that automotive USB-C demand will grow by 30.2% between 2022 and 2030, driven by the EU mandate starting in 2024, as well as consumer demand for higher-powered charging and data rates.VENDOR SHARE: Global Handset Market by Region: Q2 2023
Annual global handset shipments fell once again in in Q2 2023. Economic pressure on consumers, war in Europe, and supply-chain and manufacturing disruption are putting the brakes on handset shipments.Vendor Share : Global Handset Market by Technology : Q2 2023
Global annual handset shipment growth was once again down, in Q2 2023. Global 5G smartphone shipments are still (slowly) growing, but that improvement is not enough to make up for steep declines in 4G LTE, 2G and the nearly dead 3G markets.
It's Still Cold and Foggy Out There
It's Still Cold and Foggy Out There Shereen Vaux Order activity for semiconductor equipment remains at a chilly 44 °F. Subcon/Advanced Packaging is seeing continued demand for high-bandwidth memory and CoWoS due to the generative AI wave while theOECD Mobile Broadband Price Benchmarking Q2 2023
OECD Mobile Broadband Price Benchmarking Q2 2023: The Q2 2023 update of the OECD Mobile Broadband Price Benchmarking service is now available for download and includes almost 1,200 mobile broadband tariff plans from more than 100 providers across 38 OECD countries.Deep Dive Teardown of the MeiG Smart SRM825 SRM825N-EA Wireless Module
The MeiG Smart SRM825N-EA module is a 5G wireless communication module released for the global market, supporting 5G standalone network (SA) and non-standalone network (NSA) network architectures.Q2 ‘23: Realme: Fifth Consecutive Annual Decline
Realme global smartphone shipments declined -14% YoY but grew strong double digit +20% QoQ in Q2 2023 driven by new launches in Q2 and at tail end of Q1 2023.OECD Fixed Broadband Price Benchmarking Q2 2023
OECD Fixed Broadband Price Benchmarking Q2 2023: The Q2 2023 update of the OECD Fixed Broadband Price Benchmarking service is now available for download and includes over 2,600 fixed broadband tariff plans from more than 120 providers across 38 OECD countries.Notebook PC Cellular Connectivity and Embedded SIM Shipment and Installed Base Forecast 2015-2028
In 2023, cellular connected notebook PCs are expected to decline along with the wider PC market, down 13% versus 2022 to 8.8 million units.
Significant Advancements for Mobile RFFE Technologies and Design Strategies
2023 IEEE International Microwave Symposium and RFIC Conference
Deepx's AI Chip Targets Cameras
Yet another South Korean AI chip startup, Deepx, has emerged from stealth mode. The company’s products target computer-vision applications and provide performance from 2 to 184 TOPS.
Neo Takes DRAM To a New Dimension
Neo’s 3D X DRAM technology promises an 8× increase in DRAM capacity with a per-bit cost one-sixth that of conventional DRAM. The company seeks a partner for silicon implementation.
Snapdragon GPU Area Efficiency Slides
TechInsights’ floorplan analysis reveals MediaTek and Qualcomm have increased GPU size in their flagship smartphone processors while Apple has held its GPU size constant.
Intel PowerVia Bypasses Frontside Metal
At the recent VLSI Symposia, Intel presented three papers discussing PowerVia technology: one on the technology itself, another on the results from a test microprocessor, and a third looking at the possible evolution of PowerVia.ANALYSIS: Global Smartphone 5G Shipments by Region Q2’2023
TechInsights reports that Global 5G smartphone shipments climbed low single digits YoY in Q2 2023. Growth is stagnated due geopolitical and economic turbulence.Global 5G Smartphone Vendor Market Share by Region: Q2 2023
5G honeymoon period is officially over. Fourth consecutive stagnated quarter marks the cooling down of 5G market. TechInsights reports that Global 5G smartphone shipments climbed low single digits YoY in Q2 2023.Allegro Acquisition of Crocus to Unlock TMR Deployment
TechInsights sees the acquisition of Crocus Technology by Allegro MicroSystems as the catalyst that will fully unlock the growth potential for TMR sensor technology in the automotive sector.Apple iPhone Shipments by Model: Q2 2007 to Q2 2023
Apple global iPhone shipment declined -9% annually during Q2 2023, underperforming overall market which went down -8% YoY. iPhone 14 Pro Max topped the chart this quarter, followed by iPhone 14 Pro and 14.OmniVision OX05B Device Essentials Folder
The complete Device Essentials (DEF) deliverable includes: A PDF report, including a pixel schematic, and a summary of observed device metrics and salient features.Deep Dive Teardown of the Xiaomi 13 Ultra 2304FPN6DC Smartphone
Comparing the prism dimension of the Xiaomi 13 Ultra 2304FPN6DC periscope camera: 8.62 x 7.26 x 5.24 and the Xiaomi 12s Ultra 2203121C prism dimension: 7.73 x 4.90 x 4.42, the Xiaomi 13 Ultra’s prism is larger than its predecessor.Newracom NRC7292 Wi-Fi HaLow SoC Basic Floorplan Analysis
This report presents a Basic Floorplan Analysis of the Newracom NRC6101_1S die found inside the Newracom NRC7292. The Newracom NRC7292 is an SoC that is compliant with the IEEE 802.11ah Wi-Fi HaLow standard.Advanced Driver Assistance Systems Forecast - Data Tables for Powertrain, Body, Chassis & Safety - Aug 2023
This market forecast highlights the growth opportunities for Advanced Driver Assistance Systems (ADAS) at both a system and sensor level, specifically Powertrain, Body, Chassis & Safety.Advanced Driver Assistance Systems Forecast - Data Tables for Autonomous Vehicles - Aug 2023
This market forecast highlights the growth opportunities for Advanced Driver Assistance Systems (ADAS) at both a system and sensor level. This update has a more positive outlook for vehicle production in 2023, but overall a similar longer-term vehicle output picture.Q2 '23: Vivo out of Top Five Global Smartphone Vendor Rankings
Vivo’s Global smartphone volumes declined by -14% annually in Q2 2023. It yielded the fifth position to Transsion and was ranked the sixth largest smartphone vendor this quarter.Deep Dive Teardown of the Huawei 96 Line LiDAR L106 LiDAR Sensor
In late 2021, the first model of a new vehicle brand called Salon Automobile debuted. It was equipped with four of Huawei’s new 96 Line hybrid solid-state LiDARs and it’s called Mechdragon.Global Smartphone Vendor Marketshare for 15 Countries : Q2 2023
Global smartphone shipments declined again by -8% YoY in Q2 2023, still impacted by many unfavorable issues including sluggish consumer demand, geo-political issues, and rising inflation across the world.Sony 1/1.35” Format, 48 MP, 1.12 μm Pixel Pitch, Stacked Back-Illuminated Exmor T (2-Layer Transistor Pixel) CMOS Image Sensor with Dual Photodiode (Octa PD) Device Essentials Plus
This report presents a device essentials plus (DEP) summary of the Sony 1/1.35” Format, 48 MP, 1.12 μm Pixel Pitch, Stacked Back-Illuminated Exmor T (2-Layer Transistor Pixel) CMOS Image Sensor with Dual Photodiode (Octa PD).
All Eyes are Trained on Earnings Releases
All Eyes are Trained on Earnings Releases Shereen Vaux Order activity for semiconductor equipment eked up but is hovering at a cold 44 °F with all segments increasing slightly. It’s still cold out there and the market is in flux—memory remains atInnoscience INN650D080BS 650 V 60 mΩ GaN-on-Silicon Enhancement Mode HEMT Power Essentials
This report presents a power essentials summary (PEG) of the Innoscience INN650D080BS 650 V 60 mΩ GaN-on-Silicon Enhancement Mode HEMT.Deep Dive Teardown of the Garmin BMW i7 Head Unit MGU22 Automotive
The BMW i7 Head Unit model MGU22 is an advanced automotive cockpit platform developed by Garmin, a company specializing in automotive marine and aviation solutions.Deep Dive Teardown of the Huawei P60 LNA-AL00 Smartphone
Due to sanctions, Huawei is unable to use Gorilla Glass to cover the display, which led to the development of Kunlun Glass, used by the whole P60 series.Global Smartphone Top 100+ Microvendors Market Share : Q2 2023
A huge number of second-tier MICROVENDORS were playing a meaningful role in the global smartphone market. However, industry consolidation is underway and major players are taking more shares from small vendors recent years.Product Sony CXD5610GF GlobalFoundries 22FDX eMRAM (Telit GNSS Receiver) Advanced Memory Essentials
This report presents an advanced memory essentials (AME) of the Sony CXD5610GF GlobalFoundries 22FDX eMRAM, extracted from the Telit SE868SYD110T001000 global navigation satellite system (GNSS) receiver.Analysis : India Smartphone Vendor & OS Marketshare : Q2 2023
Smartphone market in India declined by -5% annually and grew 12% sequentially in Q2 2023. Vivo topped for the second time ever and was followed by Xiaomi and Samsung in the second and third positions.
Bluespec Adds Custom RISC-V Instructions
Bluespec’s licensable RISC-V MCUX CPU IP adds custom instructions to its existing ultralow-footprint CPU, branded MCU. Optimized for FPGAs, these CPUs occupy fewer lookup tables than any other commercial RISC-V core.China Smartphone Vendor & OS Marketshare : Q2 2023
China smartphone shipments dropped -5% YoY in Q2 2023. It has been the worst second quarter in the past ten years. The encouraging side is that the decline rate narrowed down in Q2 from the previous quarters, signaling the stabilization and the start of the recovery cycle of China smartphone market in the upcoming quarters.
Tesla Dojo Opens for AI Training
Tesla has started deploying its Dojo supercomputer that employs unusual technologies to pack AI-training performance in a smaller volume than Nvidia-based systems.Global Smartphone Vendor and OS
Global smartphone shipments shrinks -8% YoY in Q2 2023, due to inventory adjustments and the mixed consumer demand from geopolitical uncertainties, and unfavorable economic conditions.Apple Watch Shipments by Model : Q4 2016 to Q2 2023
Apple Watch shipment volume returned to YoY growth in Q2 2023, after two consecutive quarters of declines preceded by double digit growth in Q3 2022, and prior to that shrinking volumes in Q2 2022 (for the first time since 2016).
Tax Reform for Workforce Development & Silicon Photonics
Tax Reform for Workforce Development & Silicon Photonics G. Dan Hutcheson The Chip Insider® Summary: Tax Reform as an Industrial Policy to solve the workforce issue: In late 2020, I wrote '10 Things Biden can do to save Semiconductors,'... The CHIPSNorth America Smartphone Vendor & OS Market Share by Country: Q2 2023
Growth in the North American smartphone market experienced its largest second-quarter decline ever in Q2 2023, extending a slide that began mid-2022.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Q2 ’23: Apple: China’s iPhone Shipments Surpassed US’ For The First Time
Apple iPhone shipments declined -9.3% YoY in Q2 2023 to reach 43.1 million units and 16.0% market share. This is the highest second quarter annual decline for the vendor in the last 8 years from 2016 till 2023.
Near-term Visibility is Limited but Order Activity is Starting to Look Brighter Far Off in the Horizon.
Near-term Visibility is Limited but Order Activity is Starting to Look Brighter Far Off in the Horizon. Shereen Vaux Order activity for semiconductor equipment eked up a degree to a brisk 44 °F with all segments increasing at least a degree. MemoryTranssion Nudged Up the Fifth Largest Smartphone Vendor in Q2 2023
Global smartphone shipments went down -8% YoY at 269 million units. This is the eighth consecutive quarter of annual decline by smartphone volumes.Preliminary Global Tablet Shipments and Market Share: Q2 2023 Results
Preliminary Global Tablet Shipments and Market Share: Q2 2023 Results Share This Post The global tablet market fell -20% year-on-year due to continued poor economic conditions constraining consumer budgets from buying electronics, a severelySony ISP from 1.12 μm Pixel Pitch, 48 MP, Stacked Back-Illuminated CMOS Image Sensor (Sony Xperia 1 V 5G) Advanced Floorplan Analysis
This report presents an image signal processor advanced floorplan analysis (ISP) of the Sony ISP from 1.12 μm Pixel Pitch, 48 MP, Stacked Back-Illuminated CMOS Image Sensor, extracted from the Sony Xperia 1 V 5G rear-facing wide-angle camera.Deep Dive Teardown of the HesaiTech Li Auto X01 LiDAR AT-128 Automotive
Hesai's AT128 is a hybrid solid-state directional LiDAR. It has many similarities to the LeiShen CH128X1 LiDAR we analyzed. Hesai’s LiDAR shares the same number of transmitting channels but is equipped with only 32 laser transmitters and receivers.Xinguan Technology XG65T230HS1B650 V 230 mΩ GaN-on-Silicon Depletion Mode HEMT (Satechi 200 W USB-C Charger) Power Essentials Analysis
This report presents a power essentials summary (PEG) of the Xinguan Technology XG65T230HS1B650 V 230 mΩ GaN-on-Silicon Depletion Mode HEMT, extracted from the Satechi 200 W USB-C Charger.Amperex Technology Limited (ATL) BLP973 Lithium-Ion Battery with Battery Health Engine (Oppo Reno 9 Pro) Battery Characterization
This report presents a battery characterization (BCR) of the Amperex Technology Limited (ATL) BLP973 Lithium-Ion Battery with Battery Health Engine, extracted from the Oppo Reno 9 Pro smartphone.
Component Price Analyzer (CPA) tool
Welcome to the TechInsights Component Price Analyzer (CPA) tool. Our CPA tool is specifically designed for customers in the field of electrical engineering to analyze their bills of materials (BOMs).Toshiba GT30J65MRB 650 V 60 A 7th Generation Silicon Enhancement Mode N-Channel Reverse Conducting IGBT Power Essentials
This report presents a power essentials analysis (PEF) of the Toshiba GT30J65MRB 650 V 60 A 7th Generation Silicon Enhancement Mode N-Channel Reverse Conducting IGBT, featuring IGBT technology with optimized trench structure and frequency capability up to 60 kHz (from 40 kHz of previous generation).Deep Dive Teardown of the Aqara Smart Video Doorbell G4 SVD-C01 Digital Imaging
The Aqara Smart Video Doorbell G4 is a smart video doorbell capable of face recognition. It is similar in size and overall functionality when compared to the Ring Doorbells (Doorbell Pro 2 and Video Doorbell Pro).India Smartphone Vendor and OS Marketshare: Q2 2023
Smartphone market in India declined by -5% annually and grew 12% sequentially in Q2 2023. Vivo topped for the second time ever and was followed by Xiaomi and Samsung in the second and third positions.RF Industry Review Q2 2023: Financials
Sales declined for almost three quarters of publicly traded RF & wireless semiconductor suppliers and profitability, as defined by net income divided by sales, fell precipitously.V2X 2023 Update: China Dominates the Market While the Rest of the World Awaits Regulation Clarity
This report examines and forecasts the global market for V2V (Vehicle to Vehicle) communication and the continued rivalry between two V2X protocols: Wi-Fi-p/DSRC/ITS-G5 and cellular based C-V2X.Autonomous Vehicle Start-ups & Small Companies
This database covers the key start-ups and small companies that collectively are playing an important role in the development of autonomous vehicle technology.
Unleashing the Future of DRAM: The Quest for 1Tb Chips with 3D X-DRAM
Are we ready for a groundbreaking leap in memory capacity? Dr. Jeongdong Choe explores the possibility of a 1Tb DRAM chip, akin to NAND, and unveils the potential of 3D X-DRAM in the latest TechStream article.
PMIC Process Analysis Analyst Briefing
>In power semiconductors, we are seeing emerging competitive devices from China-based manufacturers such as Southchip (PMICs) and Innoscience (GaN) with close collaboration evident between these device design/manufacturers and downstream vendors such as BBK Electronics and Xiaomi.Deep Dive Teardown of the Fire-Boltt King BSW159 Smartwatch
The Fire-Boltt King is low budget smartwatch with a very simple design. Operation is by touchscreen and only one button. Connectivity is only via Bluetooth, there is no WiFi.Deep Dive Teardown of the Wyze Labs Inc Mesh Router Pro WF6ETBMR WiFi Router
The Wyze Mesh Router Pro has six antennas (compared to four in Google Nest WiFi Router and the same as in Google Nest WiFi Pro), supports Bluetooth 5.1 and Zigbee protocol (same as in Google Nest WiFi Pro Router), and uses IPQ5018 WiFi SoC (same as in Google Nest WiFi Pro Router).Survey Plus Teardown of the Huawei Nova 11 LTE FOA-AL00 Smartphone
The Nova 11 is the newest addition to Huawei’s mid-range nova Series, that launched in 2023 alongside the 11 Pro and 11i, among which it’s a mid-line model.Survey Plus Teardown of the Samsung A24 SM-A245/DSN Smartphone
Our analysis shows that most smartphones have a front camera with a sensor equal to or larger than 12 MP. We analyzed several smartphones that have a smaller sensor than 12 MP.VanChip VC7643-63/H Multi-Mode Multi-Band (MMMB) Power Amplifier (PAM) Module Architecture Analysis
The following is a report of the VanChip VC7643-63/H Multi-Mode Multi-Band (MMMB) Power Amplifier Module (PAM) Architecture Analysis.
Providing Good Service to the Semiconductor Industry Matters
Providing Good Service to the Semiconductor Industry Matters Share This Post TechInsights invites you to learn about each award recipient in the 2023 Customer Satisfaction Survey. This week: HANMI and ASMPT Limited. Delve into the top rankings of theDeep Dive Teardown of the Google Pixel 7a GHL1X Smartphone
The GooglePixel 7a GHL1X is the latest budget smartphone from Google, succeeding the Pixel 6a. It was released on December 5, 2022. The mobile runs on the Android 13 operating system. This model (GHL1X) was made for Europe region.
Unlocking the Secrets of Samsung's Galaxy A54 Mobile RF Architecture
A Comprehensive Analysis and Exploration of Key ComponentsRealtek RTL8720CM Winbond 35 nm 4Mb ePSRAM Memory Floorplan Analysis
This report (MFR) provides an analysis of the floorplan design used in the Realtek Wi-Fi&BT SoC RTL8720CM 4Mb ePSRAM manufactured in Windbond's Winbond 35 nm process technology and includes an executive summary and supporting image sets optical, X-ray, SEM cross sectional, and SEM bevel imaging sets.Autonomous Vehicle Carmaker Announcements
This database covers all the major carmaker announcements in regards to autonomous vehicles, both for semi and fully autonomous technologies.From Tactile to Touch: The Interaction Continuum
Achieving a compelling in-cabin user experience is based on applying a mix or user interfaces within the vehicle.Q2’ 2023: Samsung: Improved Profitability Despite Shipment Fall
Samsung shipped 53.5 million smartphones worldwide in Q2 2023, down -14% YoY, blaming to the mixed market demand brought by the global economic slowdown.SunLune Technology Jasmier X4 Ethereum Miner ASIC XMC 40nm CMOS Process Digital Floorplan Analysis
This report provides an analysis of the floorplan design used in the SunLune Technology Jasmier X4 Ethereum Miner ASIC, fabricated on the XMC 40nm CMOS process, which incorporates DRAM DBI interconnect and includes an executive summary and supporting image sets SEM cross sectional and bevel imaging sets.MediaTek MT6985W Dimensity 9200 Processor Package-On-Package with Integrated Organic Interposer Advanced Packaging Quick Look Analysis
This quick look report provides insight on the advanced packaging innovations used in the manufacturing of the MediaTek MT6985W Dimensity 9200 Processor, using TSMC's InFO-B process.
SEMICON West Highlights
SEMICON West Highlights G. Dan Hutcheson The Chip Insider® Summary: SEMICON West Highlights: Downturn Shock: Zombie-land facial expressions were characteristic of this year’s show. Back in December, few believed us when TechInsights analysts
IoT Connectivity’s Role in Sustainability
The recent extreme climate events worldwide have increased the focus on the importance of environmental sustainability.Deep Dive Teardown of the HTC Vive Elite XR 2QBB100 VR Headset
The HTC Vive Elite XR is HTC’s latest VR Headset which doesn’t require a base station. This model features five cameras instead of six as in the HTC Vive Cosmos.Deep Dive Teardown of the Harman GMC Sierra Head Unit INFO3.7-3.8 CSM Automotive
The GMC Sierra is a full-size pickup truck manufactured by GMC (General Motors Truck Company). The Harman INFO 3.7-3.8 CSM is an infotainment system developed by Harman, a global technology company specializing also in automotive solutions.
i.MX91 Targets Simple Edge Systems
NXP’s i.MX91 addresses simple edge applications with a feature subset of the i.MX93, maintaining pin compatibility and reducing power.
Cortex-X3 Proves Its Area Efficiency
TechInsights’ floorplan analyses of smartphone processors reveal the size of CPUs and caches.NVIDIA H100 Hopper TSMC CoWoS-S Flip Chip Ball Grid Array
This report provides a deeper insight on the advanced packaging innovations used in the manufacturing of the nVIDIA Hopper GH100 GPU.
Dimensity 6100+ Lags at Low End
Qualcomm’s Snapdragon 4 Gen 2 offers more-powerful CPUs and faster DRAM than MediaTek’s Dimensity 6100+, but neither new chip delivers much improvement to low-cost smartphones.Lead-Sheathed Cable Risks Greatly Exaggerated
An investigative report in the Wall Street Journal claims that lead-sheathed cable in US telecom outside plant represents a public health and environmental hazard.Preliminary Global Notebook PC Shipments and Market Share: Q2 2023 Results
Notebook PC shipments declined -13% in the second quarter of 2023 versus the same period a year ago and totaled 47.4 million.GaN and Microwave Acoustics Take Center Stage at IMS 2023
GaN and Microwave Acoustics Take Center Stage at IMS 2023 Share This Post Advances in GaN devices for the millimeter wave took center stage at IMS 2023 with new products and R&D results from BAE, HRL, Intel, NGC Qorvo, Wolfspeed, and others. AcousticSolidigm 29F02P2BMCQLI 192-Layer 1.33 Tb QLC 3D NAND Flash Memory Floorplan Analysis
Solidigm 29F02P2BMCQLI 192-Layer 1.33 Tb QLC 3D NAND Flash Memory Floorplan Analysis Share This Post This report (MFR) provides an analysis of the floorplan design used in the Solidigm 29F02P2BMCQLI 192-Layer 1.33 Tb QLC 3D NAND Flash, and includes
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Near-term order visibility remains limited as caution drives decision making
Near-term order visibility remains limited as caution drives decision making Shereen Vaux Order activity for semiconductor equipment held at a chilly 43 °F as customers remain cautious because of the macro headwinds and slow inventory burn offSemtech LR1121 LoRa Transceiver Floorplan Analysis (IoTB)
This report presents a basic floorplan analysis (BFR) of the Semtech LR1121 Long Range (LoRa) Transceiver.Automotive Semiconductor Demand Forecast 2021 to 2030 - Q2 2023 Executive Summary
While the remnants of the COVID situation and the war in Ukraine, and subsequent fears over the global economy remain dominant factors impacting vehicle output in this update, TechInsights sees signs that semiconductor supply is starting to become less of an issue.Qorvo QPG7015M Zigbee/Thread/BT 5.0 Controller Floorplan Analysis (IoTB)
This report presents a basic floorplan analysis (BFR) of the Qorvo QPG7015M Zigbee/Thread/BT 5.0 controller, extracted from the eero Pro 6E wireless router.
An Analyst’s Perspective: Chiplets and China
A recent Reuters article shone a spotlight on chiplet technology, noting that China’s Chipuller has acquired California’s zGlue’s chiplet technology patent.Samsung brings incremental improvements to 5th generation foldables
Samsung introduced its 5th generation Galaxy Z Flip5 and Fold5 foldable display smartphones today.Samsung K3KL3L30CM-BGCT (K4L6E165YC Die) 16 Gb LPDDR5X SDRAM D1a nm Advanced Memory Essentials
This report presents an advanced memory essentials (AME) of the Samsung K3KL3L30CM-BGCT (K4L6E165YC Die) 16 Gb LPDDR5X SDRAM D1a nm, extracted from the Samsung Galaxy S23+ smartphone.Thailand xEV System, Semiconductor and Sensor Demand Forecast 2021 to 2030 - July 2023
This TechInsights data model presents a view of the Thailand outlook for xEV systems and associated semiconductor and sensor demand.West Europe xEV System, Semiconductor and Sensor Demand Forecast 2021 to 2030 - July 2023
This TechInsights data model presents a view of the West Europe outlook for xEV systems and associated semiconductor and sensor demand.Sodium-Ion Batteries: Implementation in Electric Vehicles
TechInsights forecasts that almost 12 percent of all new battery electric light vehicles will be powered by sodium-ion batteries by 2030.Swvl’s SPAC, Via’s Tech Ties Illustrate MaaS Successes, Struggles
International mobility-as-a-service (MaaS) companies Swvl and Via responded differently to the ongoing need for funding amid tepid post-pandemic ridership recovery and investors’ declining interest in shared mobility.South Korea xEV System, Semiconductor and Sensor Demand Forecast 2021 to 2030 - July 2023
This TechInsights data model presents a view of the South Korea outlook for xEV systems and associated semiconductor and sensor demand.Russia xEV System, Semiconductor and Sensor Demand Forecast 2021 to 2030 - July 2023
This TechInsights data model presents a view of the Russia outlook for xEV systems and associated semiconductor and sensor demand.ROW xEV System, Semiconductor and Sensor Demand Forecast 2021 to 2030 - July 2023
This TechInsights data model presents a view of the ROW outlook for xEV systems and associated semiconductor and sensor demand.NAFTA xEV System, Semiconductor and Sensor Demand Forecast 2021 to 2030 - July 2023
This TechInsights data model presents a view of the NAFTA outlook for xEV systems and associated semiconductor and sensor demand.Japan xEV System, Semiconductor and Sensor Demand Forecast 2021 to 2030 - July 2023
This TechInsights data model presents a view of the Japan outlook for xEV systems and associated semiconductor and sensor demand.India xEV System, Semiconductor and Sensor Demand Forecast 2021 to 2030 - July 2023
This TechInsights data model presents a view of the India outlook for xEV systems and associated semiconductor and sensor demand.East Europe xEV System, Semiconductor and Sensor Demand Forecast 2021 to 2030 - July 2023
This TechInsights data model presents a view of the East Europe outlook for xEV systems and associated semiconductor and sensor demand.China xEV System, Semiconductor and Sensor Demand Forecast 2021 to 2030 - July 2023
This TechInsights data model presents a view of the China outlook for xEV systems and associated semiconductor and sensor demand.Handset Revenue & ASP By Vendor by Region : Q1 2023
Global Wholesale Handset industry revenues declined by -6% annually in Q1 2023. Apple.Brazil xEV System, Semiconductor and Sensor Demand Forecast 2021 to 2030 - July 2023
This TechInsights data model presents a view of the Brazil outlook for xEV systems and associated semiconductor and sensor demand.Smartphone Distribution Flow and Channel by Region / Country Forecast Report : 2007 to 2028
The 2020-2021 COVID-19 wave gave a huge boost to online channel but as the situation eases out in the different regions, offline started to gain back some of its lost share leading to online sales % decline in 2022 and it is expected remain the downwards track for 2023 as well.Connected CE Devices Market Forecast: ASIA PACIFIC 2018-2028
This report forecasts major Consumer Electronics device shipments, installed base, revenues and ASPs globally and across six major regions from 2018 to 2028 as a total of all devices and those that are IP-enabled.
Webinar: The Silicon Bridge and Heterogeneous Integration
Featuring TSMC, Apple, Samsung, and the Jasminer X4—the first-ever observed DRAM-to-Logic hybrid bonding.
TechInsights’ McClean Report is Now Brought to You Monthly
Also benefit from the traditional TechInsights McClean Report Research Bulletins in the complimentary section.
Automotive: Generative AI in the Infotainment Market and Beyond
Talk of generative AI is everywhere these days. But what does it mean for the automotive industry?OmniVision OS12D40 Device Essentials
This report presents a device essentials (DEF) of the OmniVisionOS12D40, 1/2.49″ Format, 11.3 MP Resolution, 1.4 µm Pixel Pitch, Stacked Back-Illuminated CMOS Image Sensor.Deep Dive Teardown of the Schlage Encode Plus Smart WiFi Deadbolt BE499WB WiFi Smart Lock
When compared to the Schlage Encode Plus model BE499WB, the Securam Wi-Fi Smart Lock model SH-WB01 has differences.
Toyota's Solid-State Batteries
Could Toyota’s battery propel a car up to 1,200 kilometers (about 745.65 miles) on a 10-minute charge?Survey Plus Teardown of the Vivo Y22 V2207 Smartphone
The Vivo Y22 V2207 is comparable with the Oppo A1 5G. Those two smartphones are based on different platforms.INDEX Total EV-HEV Total Mild Hybrid Total Full Hybrid Total Plug-in Hybrid Total Battery EV Electronics System Data Semiconductor Data
This TechInsights data model presents a view of the Global outlook for xEV systems and associated semiconductor and sensor demand.Global xEV System, Semiconductor and Sensor Demand Forecast 2021 to 2030 - July 2023
This TechInsights data model presents a view of the Global outlook for xEV systems and associated semiconductor and sensor demand.Worldwide Cellular User Forecast 2023-2028
A post-pandemic 'Next Normal' has yet to emerge for many wireless operators globally, with more normal mobility patterns offset by market volatility caused by supply chain challenges, inflationary pressure on costs and end-user affordability, and persistent macro-economic uncertainty.Automotive Infotainment & Telematics - Vendor Market Shares & OEM Features Q3 2023 - Spreadsheet
TechInsights Automotive Infotainment & Telematics Q3 2023 Vendor Market Shares & OEM Features report provides an overview of System Vendor and Chipset Vendor market shares, as well as a range of key features by OEM including Telematics, Navigation, Smartphone Projection, Displays, Operating Systems etc.Automotive Infotainment & Telematics - Systems & Features Q3 2023 - Spreadsheet
TechInsights Automotive Infotainment & Telematics Q3 2023 System Market Report provides a forecast outlook for OEM and Aftermarket hardware and feature market from 2020 through 2030.Automotive Infotainment & Telematics - Semiconductors Q3 2023 - Spreadsheet
TechInsights' Automotive Infotainment & Telematics Q3 2023 Semiconductor Market Report provides a forecast outlook for OEM and Aftermarket hardware and applications market from 2020 through 2030.Automotive Infotainment & Telematics - Systems & Features Q3 2023 - Market Overview
TechInsights Automotive Infotainment & Telematics Q3 2023 System Market Report provides a forecast outlook for OEM and Aftermarket hardware and feature market from 2020 through 2030.Automotive Infotainment & Telematics - Semiconductors Q3 2023 - Market Overview
TechInsights’ Automotive Infotainment & Telematics Q3 2023 Semiconductor Market Report provides a forecast outlook for OEM and Aftermarket hardware and applications market from 2020 through 2030.
IBM’s Strategy, Vision, and Ecosystem
IBM’s Strategy, Vision, and Ecosystem G. Dan Hutcheson The Chip Insider® Summary: IBM’s Strategy, Vision, and Ecosystem: Eight years ago… I visited IBM at Albany NanoTech Complex, which contains the company's semiconductor research center, to answer
Editorial: Intel Refocuses Manufacturing
Intel’s new accounting approach gives financial responsibility to manufacturing, treating business units as internal customers. This greater accountability should help the overall profitability and competitiveness of its foundry business.
Tiny AI Benchmark Matches Up MCUs
Microcontrollers from STMicroelectronics feature prominently in MLPerf Tiny v1.1 results, and Plumerai’s inference engine demonstrates how it speeds up model execution.
Near-term order visibility remains low as semiconductor markets get past the bottom
Near-term order visibility remains low as semiconductor markets get past the bottom Shereen Vaux Order activity for semiconductor equipment increased but remains at a chilly 43 °F. As expected, TSMC is planning to double its CoWoS capacity next yearMobile RF Architecture Analysis of the Samsung Galaxy A54 5G (SM-A546V) Smartphone
Mobile RF Architecture Analysis report on the Samsung Galaxy A54 5G (SM-A546V) Smartphone.The following report contains a block level schematic of all the RF paths from the antennae to the RF transceiver.Connected CE Devices Market Forecast: GLOBAL 2018-2028
Following a -3% decline in 2022 total Global Consumer Electronics' wholesale revenues are now forecast to grow by +3% in 2023 to $947 billion due to several factors.Connected CE Devices Market Forecast: AMERICAS 2018-2028
Following a marginal -0.1% decline in 2022 total Consumer Electronics wholesale revenues in the Americas are forecast to grow by +3% in 2023 to $297 billion due to several factors.Connected CE Devices Market Forecast: EMEA 2018-2028
Following a -6% decline in total total Consumer Electronics wholesale revenues in the EMEA region in 2022, we are forecasting marginal grow by +0.4% in 2023 to $268 billion due to several factors.RF GaAs Revenue Declines in 2022 Amidst Ongoing Headwinds
Headwinds from semiconductor shortages and consequent inventory corrections, global smartphone shipment declines, US/China trade tensions and restrictions on chip exports caused total RF GaAs device revenue to dip by 7.1 percent in 2022.Automotive Infotainment & Telematics - Systems & Features Q3 2023 - Presenter
TechInsights Automotive Infotainment & Telematics Q2 2023 System Market Report provides a forecast outlook for OEM and Aftermarket hardware and feature market from 2020 through 2030.Automotive Infotainment & Telematics - Semiconductors Q3 2023 - Presenter
The OEM market opportunity for Infotainment & Telematics chipset vendor increased by 10.3% YoY in revenue terms in 2022.Analysis: Mobile Computing Vendor Market Share – Q1 2023 Results
The mobile computing market was down -26% year-on-year in Q1 2023 by shipments and down -30% by revenue as inflation has waned for tablet and notebook prices.Automotive Semiconductor Demand Forecast 2021 to 2030 - July 2023
While the remnants of the COVID situation and the war in Ukraine, and subsequent fears over the global economy remain dominant factors impacting vehicle output in this update, TechInsights sees signs that semiconductor supply is starting to become less of an issue.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report Shereen Vaux Semiconductor sales increased 9% last week but were down 6% from this time last year; however, the yearly declines are starting to moderate. Zooming in on NAND IC this week, the 13-week MA is
3D NAND – Q2 2023 Update
Chi Lim Tan, Senior Process Analyst and NAND expert with TechInsights, has compiled a quick review of major happenings in the second quarter of 2023.
Samsung's Groundbreaking 3nm GAA Process
TechInsights, the first company to reverse engineer to 3nm, has identified Samsung's revolutionary 3nm gate-all-around (GAA) process within the Whatsminer M56S++ crypto-mining ASIC from Chinese manufacturer MicroBT.Location Benchmarking Report 2023
In TechInsights’ annual benchmark of global location companies HERE continues to lead across most domains, followed closely by TomTom and Google.Tablet Apps Processor Market Share Tracker Q1 2023: Premium Tier Chips Continue to Bolster Industry Amidst Market Correction
The global tablet applications processor (AP) market grew 6.3 percent year-on-year in terms of revenue in Q1 2023.x86-based APs, driven by Intel, dropped below 10 percent unit share.MWC Shanghai 2023: New Connected Service Offering Trends, eSIM and 5G-Advanced
TechInsights identified new trends and technologies at MWC Shanghai 2023, a trade show that focuses on the communications industry.Automotive Sensor Demand 2021 to 2030 - July 2023
The market for packaged automotive sensors is expected to grow at a CAAGR of 6.6% over 2022 to 2027.Analysis: Global Smartphone Vendor ASP and Revenue Share by Region: Q1 2023
Global Wholesale smartphone revenues declined by -5% YoY in Q1 2023. Apple, Samsung and Xiaomi were the top three vendors on revenues.Mobile Computing Shipments, Revenue, Installed Base and Penetration Forecast by Type by 88 Countries 2010-2028
The notebook and tablet installed base accounts for nearly 1.6 billion units globally, powering work and play in mobile computing form factors.TV OS Profiles
The TV operating system (OS) is emerging as a key point of differentiation for TV OS vendors with control of the TV OS becoming a major battle ground.Oppo SUPERVOOC S PMIC from OnePlus Ace 2 Mobile Handset Process Analysis
This report presents a PMIC process analysis (PEF) of the Oppo SUPERVOOC S PMIC, extracted from the OnePlus Ace 2 smartphone.
The OPPO Reno 9 and understanding OPPO’s Battery Health Engine
Can Oppo’s Battery Health Engine mitigate the aging of lithium-ion batteries?Samsung’s 3nm GAA Process in Whatsminer ASIC - Disruptive Event
TechInsights has identified Samsung's revolutionary 3nm Gate-All-Around (GAA) process within the Whatsminer M56S++ crypto-mining ASIC from Chinese manufacturer MicroBT.Deep Dive Teardown of the Apple Mac Mini A2816 Personal Computer
The Mac Mini A2816 runs the M2 Pro/APL1113 64-bit dodeca-core applications processor, manufactured by TSMC for Apple.Deep Dive Teardown of the Fibocom FM350-GL Wireless Module
The FibocomFM350-GL module is a 5G wireless communication module released for the global market, supporting 5G standalone network (SA) and non-standalone network (NSA) network architectures.
A Brief History of Insulated Gate Bipolar Transistors (IGBTs) with Curated TechInsights Analysis
Two groundbreaking advancements have shaped the landscape of IGBT development, including the advantages of trench and field stop technology, also known as soft punch-through.
The Vivo V2 Brings More High-Speed Interfaces, Better Image Processing Capabilities
Image Sensor subject matter expert Jefferson Chua discusses what makes this ISP impressive in his blog.
Sony, Onsemi, and Samsung Showcase Revolutionary Automotive Image Sensors at IISW 2023
At the IISW 2023 Sony, onsemi, and Samsung each presented research on upcoming devices designed specifically for the automotive industry.Presenter - Automotive Electronics System Demand - July 2023
This market forecast highlights the impact that vehicle architecture change is having on automotive electronics system demand.Appendices - Automotive Electronics System Demand - July 2023
This market forecast highlights the impact that vehicle architecture change is having on automotive electronics system demand.Main Data Tables - Automotive Electronics System Demand - July 2023
This market forecast highlights the impact that vehicle architecture change is having on automotive electronics system demand.Tablet Cellular Connectivity and eSIM Shipment and Installed Base Forecast by Form Factor 2010-2028
Wi-Fi does not always provide the ideal connectivity solution for mobile computing on the go.SpecTek PT040-56B DDR5 DRAM (Micron 1α) Memory Floorplan Analysis
This report (MFR) provides an analysis of the floorplan design used in the SpecTek PT040-56B DDR5 DRAM (Micron 1α) and includes an executive summary and supporting image sets optical, X-ray, SEM cross sectional, and SEM bevel imaging sets.
Meta AI Chip Targeted Recommenders
Meta has developed an AI accelerator chip called MTIA, following in the footsteps of Google and Amazon. Employing RISC V CPUs and fixed-function units, the chip offered 102 INT8 TOPS at 25 W TDP.
Lightelligence Connects Chiplets
Lightelligence’s ONoc interposer connects chiplets optically through silicon waveguides on a monolithic multireticle substrate. The company launched a machine-learning application as a demonstrator.
MLPerf Benchmarks GPT Training Times
The MLPerf benchmark added tests to assess GPT-training performance. AI processors from Nvidia and Intel (Habana) both exhibit good scaling.Deep Dive Teardown of the Harman 4D DSS Booster ALEV4-02 Automotive
The Harman 4D DSS Booster is a part of Bowers & Wilkins 4D Diamond Surround Sound used in BWM iX vehicles.STMicroelectronics VB56G4A 1.5 MP Resolution 2.61 μm Pixel Pitch Stacked Back-Illuminated Monochrome CMOS Image Sensor Device Essentials
This report presents a device essentials (DEF) of the STMicroelectronics VB56G4A 1.5 MP resolution, 2.61 μm pixel pitch, stacked back-illuminated monochrome CMOS image sensor for automotive applications.Deep Dive Teardown of the Samsung A34 5G SM-A346E/DSN Smartphone
Compared to Samsung's A32 5G and A42 5G, the A34 5G has an entirely different RF design even though some ICs are the same.Taiyo Yuden FSFCSR1T2G44EG66 Film Bulk Acoustic Wave Resonator (FBAR) Filter Process Analysis
This report presents a process analysis (AFF) of the Taiyo Yuden FSFCSR1T2G44EG66 Film Bulk Acoustic Wave Resonator (FBAR) Filter, extracted from the Honor 70 5G smartphone.
Flagship Smartphone Camera Showdown: iPhone 14 Pro Max vs. Galaxy S23 Ultra
TechInsights recently conducted a comparison between the camera systems of two prominent flagship smartphones: the Apple iPhone 14 Pro Max and the Samsung Galaxy S23 Ultra. Explore the image sensor components featured in these two leading flagship smartphones in the TechInsights Platform.
Samsung 3nm GAA Process
TechInsights has identified Samsung's revolutionary 3nm gate-all-around (GAA) process within the Whatsminer M56S++ crypto-mining ASIC from Chinese manufacturer MicroBT.Advanced Entry and Start System Supply and Fitment Database
This database has collated the various developers and suppliers of known OEM- and dealer-fitted Passive Entry Go, Passive Go and long range two-way communication systems, listed by vehicle model assembled since 1999 and by known future models.OECD Mobile Voice and Data Price Benchmarking Q2 2023 update
The Q2 2023 update of the OECD Mobile Voice and Data Price Benchmarking service is now available for download and includes over 2,300 mobile voice and data tariff plans from 76 providers across 38 OECD countries.Analysis : Handset Vendor Market Share for 60 Countries : Q1 2023
Global handset shipments declined by -18% YoY in Q1 2023.
Evolution for the Sony 2-layer Transistor Pixel Image Sensor
Sony has launched the first-ever 2-layer Transistor Pixel CMOS image sensor in the Sony Xperia 1 V smartphone.Global Smartphone Vendor ASP and Revenue Share by Region: Q1 2023
Global smartphone industry revenues declined by -5% annually in Q1 2023.Global Apple iPad Shipments by Model: Q1 2023 Results
Apple has not been immune to the tumultuous economic environment and post-COVID lull in demand that has pushed tablet demand lower in 2023.Smartphone Fingerprint Sensor Market Share Q1 2023: Revenue Down 30 Percent, Pricing Continues to Fall
The global smartphone fingerprint sensor market saw a revenue decline of 30 percent in Q1 2023.The Metaverse – Will it shape how we experience cars?
When the Metaverse was put on the map when Facebook rebranded, it was hyped.Global Smartphone User Penetration Forecast by 88 Countries: 2007 - 2028
We forecast global smartphone user base will increase +13% from 2023 to 2028.Global Top-500 Smartphone Shipments, ASP, and Price Band by Model with Specs: Q1 2023
This highly detailed report shows the top-500 best-selling smartphone models globally in terms of their shipments, wholesale ASP, and price band in the first quarter of 2023.Satellite Data in Automotive: Imminent Reality or Distant Hope?
Low Earth Orbit (LEO) satellites offer the promise of global connectivity, but technical and cost challenges of implementing satellite internet for in-motion use cases such as automotive remain for the technology to have mass-market appeal and application.Automotive System Demand 2021 to 2030: Architecture Change Impacts Growth
This market forecast highlights the impact that vehicle architecture change is having on automotive electronics system demand.NVIDIA Hopper H100 Tensor Core GPU TSMC Custom NVIDIA 4N FinFET Process Digital Floorplan Analysis
This report presents a digital floorplan analysis (DFR) of the NVIDIA Hopper H100 Tensor Core GPU, fabricated using TSMC's Custom NVIDIA 4N FinFET Process.Deep Dive Teardown of the Samsung Galaxy A14 5G SM-A146P/DSN Smartphone
In the table below, there is a comparison of the Samsung Galaxy A13 SM-A135F/DS, Samsung Galaxy A32 5G SM-A326U and Samsung Galaxy A42 5G SM-A426U.China: Automotive Cloud Overview—Market Status, Key Technologies, and Major Players
TechInsights predicts strong growth for the use of cloud services in the automotive industry, in China and globally.xEV Sensor Demand Outlook 2021-2030
TechInsights forecasts xEV production to grow at a CAAGR of 18%, with volumes reaching 57.8 million units by 2030.Smartphone On-Device AI Chip Market Share Tracker Q1 2023: Apple to Claim AI Leadership Amidst Industry Downturn
Global smartphone apps processors (AP) with on-device artificial intelligence (AI) declined 14 percent year-over-year in Q1 2023.Apple and Qualcomm's APs continue to dominate the AI AP rankings in Q1 2023, however, it is expected that Apple will take a leadership role in 2H 2023.Vehicle Subscriptions Services Must Take 3 Critical Steps for Shared Model Transition
The automotive business model is shifting from ownership to shared services.xEV Semiconductor Demand Outlook 2021-2030
TechInsights forecasts xEV production to grow at a CAAGR of 18%, with volumes reaching 57.8 million units by 2030.Enterprise IoT Survey 2023 Country Report: US
TechInsights' IoT research channel runs an Annual Enterprise IoT Survey with nine industry verticals across three countries.Smart Home Video Doorbell Market Shares
EZVIZ remained the No. 1 video doorbell brand globally at the end of 2022 as market competition continued to intensify.Global Bluetooth TWS Shipments, Revenues and ASP by Price Tier by Vendor: Q1 2023
Apple remains the shipment and revenue leader in Bluetooth True Wireless Stereo (TWS) headsets in Q1 2023.Global Bluetooth TWS Shipments by Region: Q1 2023
Apple remains the shipments leader in Bluetooth True Wireless Stereo (TWS) headsets.RF Industry Review Q1 2023: Financials
Mobile phone shipments decreased and radio component supplier sales dropped sequentially.
Sustainability is a valley of mole hills. Becoming Great: KLA.
Sustainability is a valley of mole hills. Becoming Great: KLA. G. Dan Hutcheson The Chip Insider® Summary: Sustainability is a valley of mole hills … or maybe it should be named Mole Hill Valley because as I see it, dealing with so many mole hills is
Arm G720 Adds New Rendering Path
Fifth Generation Mali/Immortalis GPU Architecture Defers Vertex Shading
Arm DSU-120 Lowers Dynamic, Static Power
Updated Arm v9.2 Cluster Interconnect Adds CPUs, Doubles L3 CacheSurvey Plus Teardown of the Realme C55 RMX3710 Smartphone
The C55 is the latest addition to Realme’s entry-level C series and a successor to the C33, it offers new cameras with bigger image sensors, higher-resolution display, faster 33W charging, and sideinstead of back-mounted capacitive fingerprint sensor.OECD Fixed Voice and Leased Line Price Benchmarking Q2 2023
The Q2 2023 OECD Fixed Voice and Leased Line Price Benchmarking Service update is now available for download.xEV Systems Demand Outlook 2021-2030
xEV production is forecast to grow at a CAAGR of 18%, with volumes reaching 57.8 million units by 2029.Analysis: ACCESORIES: Global Bluetooth Headset Sales, Installed Base and Revenue Forecast to 2028
Bluetooth headsets have become must have product for many smartphone owners as vendors remove the 3.5mm plug from smartphones.ACCESSORIES: Global Bluetooth Headset Sales, Installed Base and Revenue Forecast to 2028
Bluetooth headset revenues will grow slightly, driven by TWS headsets but also banded headsets.RF Industry Review Q1 2023: Contracts, New Products
Satellite-to-cellular satcomms emerged as a hot topic in Q1 2023 with many companies developing either 3GPP-compliant or proprietary radio chips, and numerous companies starting to place small communication satellites in orbit.Digital Health at Home Report Series: Chapter One - Optimizing Health, Fitness, and Wellbeing (Section 1 of 6) Home Fitness Technologies
This report is Chapter One, section One (of six) in a report series that takes a comprehensive look at how digital health is penetrating the smart home environment.Handset Vendor Market Share for 60 Countries: Q1 2023
Global handset shipments declined by -18% annually in Q1 2023. The "Sixty" counties tracked in this report accouted for 91% of the handset volumes in the quarter.VALUE SHARE: Global Wearables Revenue and ASP by Vendor: Q1 2023
Global wearable revenues fell by 1% in Q1 2023 as macroeconomic weakness and geopolitical uncertainty caused lengthening replacement cycles and shrinking volumes for both smartwatches and fitness bands.MWC Shanghai 2023: Smartphone Review
MWC Shanghai 2023 returned to the stage after China lifted most anti-covid lockdown measures since 2019.VALUE SHARE: Global Fitness Band Revenue and ASP by Vendor by Price Tier: Q1 2023
Global fitness band industry revenues slowed their rate of declines last quarter, dropping by just 17% in Q1 2023 (after dropping 30% - 45% during each of the prior 6 quarters).AMD Ryzen 9 7950X3D TSMC SoIC Package Technology Advanced Packaging Analysis
This report provides a deeper insight on the advanced packaging innovations used in the manufacturing of the AMD Ryzen 9 7950X3D (second generation 3D V-Cache).
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
There weren’t many fireworks this Fourth of July
There weren’t many fireworks this Fourth of July Shereen Vaux Order activity for semiconductor equipment increased but remains at a cold 42 °F. Micron’s results and outlook were largely in line with our expectations; the memory market environmentAMD Instinct MI210 Accelerator Digital Floorplan Analysis
This report provides an analysis of the floorplan design used in the AMD Instinct MI210 Accelerator and includes an executive summary and supporting image sets SEM cross sectional and bevel imaging sets.
Disruptive Event: Sony 2-layer Transistor Pixel
Sony pushes ahead of other device architectures with the first 2-layer Transistor Pixel CMOS image sensorDeep Dive Teardown of the Denso 10 Toyota Crown Audio Amplifier 86280-30A10 Automotive
The construction of this device is simple. The housing consists of two parts.Sila Nanotechnologies WS40 Silicon Anode Lithium Ion Battery (Whoop 4.0) Battery Characterization
This report presents an battery characterization analysis (BCR) of the Sila Nanotechnologies WS40 Silicon Anode Lithium Ion Battery, found in the Whoop 4.0 fitness tracker.5G Transformation, Digital Everything and Reality+ the Dominant Themes at Mobile World Congress Shanghai 2023
MWC Shanghai opened its door last week for the first fully in-person interaction at the event since 2019. MWC Shanghai 2023 also marked the event's 10th anniversary.Global Top-500 Smartphone Revenue, Value Share, ASP, and Price Band by Model: Q1 2023
This highly detailed report shows the top-500 best-selling smartphone models globally in terms of their revenue, value share, wholesale ASP, and price band in the first quarter of 2023.Notebook PC Shipments, Revenue, Installed Base, and Penetration Forecast by 88 Countries 2010-2028: Q2 23 Update
From the Windows 10 commercial refresh to historic demand due to COVID work and school restrictions, the Notebook PC installed base has grown 29% in the last four years.VALUE SHARE: Global Smartwatch Revenue and ASP by OS by Price Tier: Q1 2023
Global smartwatch revenues declined by 2% during Q1 2023 as consumers reigned in discretionary spending causing a lengthening replacement cycle amid macroeconomic weakness.VALUE SHARE: Global Smartwatch Revenue and ASP by Vendor by Price Tier: Q1 2023
This field is used for the Short Desc in the eStore Report Store Item.
Providing Good Service to the Semiconductor Industry Matters
Providing Good Service to the Semiconductor Industry Matters Share This Post TechInsights invites you to learn about each award recipient in the 2023 Customer Satisfaction Survey. This week: PLASMA and TECHNOPROBE. 10 BEST Suppliers: Large ChipDeep Dive Teardown of the Nanoleaf Essentials Matter Smart Bulb NL67E100 Smart Bulb
The Nanoleaf Essentials Matter Smart Bulb has the capability of 16 million colors and tunable white tone adjust with a dedicated App.Survey Plus Teardown of the Samsung Galaxy A54 5G SM-A546E/DS Smartphone
There are many similarities between the Samsung Galaxy A54 to the Galaxy A34.
Technoprobe - Rated THE BEST Test Subsystem Supplier
TechInsights invites you to learn about each recipient of the 2023 Customer Satisfaction Survey. This week: Technoprobe
Plasma-Therm: RANKED 1st Etch
TechInsights invites you to learn about each recipient of the 2023 Customer Satisfaction Survey. This week: Plasma-ThermNeuralink: Industry Update
Neuralink, the brain implant startup founded by Elon Musk, recently received approval from the Food and Drug Administration (FDA) to initiate human testing after almost three years of waiting.Global Top-500 Smartphone Shipments, ASP, and Price Band by Model: Q1 2023
This highly detailed report shows the top-500 best-selling smartphone models globally in terms of their shipments, wholesale ASP, and price band in the fourth quarter of 2022.SVOD Service Benchmarking (Q1 2018 - Q1 2023)
Netflix Edges Out Disney for SVOD Crown. Strategy Analytics' SVOD Service Performance Benchmarking Report analyzes quarterly subscribers, monthly active user (MAU), and revenue trends for 30+ SVOD services.OTT Video Service Tracker (Q2 2023)
OTT video services that feature specialized content such as sports, children’s programs, nature, theatre and more have been launched to attract audiences with specific interests.North American Pay TV Benchmarking (Q1 2023)
This database tracks the quarterly performance of 38 pay TV providers in North America for the Q1 2017 to Q1 2023 time period and includes number of subscribers.North American Connected TV Advertising Forecast
Connected TV (CTV) ad spend is growing by leaps and bounds in North America.European Pay TV Benchmarking (Q1 2023)
European Pay TV Providers lose 608K Subscribers in Q1 2023.Digital Media Index (Q1 2023)
Digital media revenues grew 3.6% YoY, reaching $213.3B.Vivo V2 Image Signal Processor Standard Floorplan Analysis
This report presents a standard floorplan analysis (FAR) of the Vivo V2 Image Signal Processor, extracted from the Vivo X90 Pro 5G smartphone.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Reverse Engineering VINATech Hybrid Capacitors used in the Samsung TV Remote Control.
Part 1: Electrochemical Characterization.
Secrets in Apple's A16 Bionic Chip Revealed!
The Apple A16 Application Processor, powering the iPhone 14 Pro and 14 Pro Max, features groundbreaking advancements in performance and efficiency, including the introduction of the LPDDR5 interface with a new RDQS lane. A recent circuit analysis by TechInsights highlights these impressive developments.Global Smartphone Replacement Rate / Cycle Forecast by 88 Countries: 2008-2028
Based on the latest key assumptions including the prolonged geopolitical tensions, COVID-related manufacture disruption, as well as the cloudy global economy outlook, TechInsights has lowered the global smartphone sales forecast numbers in 2023 and 2024.Smartphone Memory Market Share Q1 2023: Samsung Tops Ranking with 50 Percent Share
The global smartphone memory market fell sharply, witnessing a revenue decline of 46 percent in Q1 2023.Global Smartphone Sales by Biometric Authentication Enablers Forecasts to 2028
Biometric Authentication are systems that rely on the unique biological characteristics of individuals to verify identity for secure access .Analysis: Global Smartphone Sales Forecasts by Biometric Authentication Enablers to 2028
Biometric Authentication are systems that rely on the unique biological characteristics of individuals to verify identity for secure access.Analysis: Global AI and Sensors Smartphone Sales Forecast to 2028
The Artificial Intelligence (AI) is an integral part of a modern smartphone.Smartphones: Global Artificial Intelligence and Sensor Technologies Forecast to 2028
Artificial Intelligence (AI) is an integral part of a modern smartphone.Smart Home Surveillance Camera Market Shares
Smart home surveillance camera sales continued to grow year-over-year on 2022 as Asian brands with low cost, entry-level cameras continued to flood the market.ams OSRAM Mira220 1/2.7", 2.2 MP Resolution, 2.79 um Pixel Pitch Stacked Back-Illuminated NIR Enhanced Voltage Domain Global Shutter CMOS Image Sensor Device Essentials Plus
This report presents a device essentials plus (DEP) of the ams OSRAM Mira220 1/2.7", 2.2 MP Resolution, 2.79 um Pixel Pitch Stacked Back-Illuminated NIR Enhanced Voltage Domain Global Shutter CMOS Image Sensor.Driver Monitoring Systems: Centralized Processing Architectures Favor Smart Eye
Since the first market share estimates were published by TechInsights in December 2019, Smart Eye announced a slew of design wins from various auto makers.France Handset Vendor Marketshare by Operator : Q1 2023
The French handset market in Q1 2023 declined by -6% YoY by shipment basis. Apple kept no.1 positions across all local carriers while Samsung stood at no.2 positions.VINATech VEL08253R8506G Vina Pulse Capacitor (VPC) 3.8 V Hybrid Capacitor (Samsung QLED TV Remote) Battery Essentials
Some Samsung televisions come with a remote based on hybrid supercapacitors instead of batteries.Deep Dive Teardown of the Denso Global Safety Package 3 Forward Camera 8646C-78051 Automotive Camera
Designed by Denso, the Vision SensorCamera is a part of theGlobal Safety Package 3, the third generation of this system.
It's cold and foggy as we head into 2H23
It's cold and foggy as we head into 2H23 Shereen Vaux Order activity for semiconductor equipment increased but remains at a cold 42 °F. Micron’s earnings report on June 28 will give us some more visibility on how the memory industry fared in 2Q23 and
Providing Good Service to the Semiconductor Industry Matters
Providing Good Service to the Semiconductor Industry Matters Share This Post TechInsights invites you to learn about each award recipient in the 2023 Customer Satisfaction Survey. This week: ADVANTEST and EV GROUP. 10 BEST Suppliers: Large ChipNorth American 5G Smartphones Country Forecast to Q4 2024
5G smartphone shipments in North America in Q1 2023 accounted for over nine in ten of total smartphone shipments in the region.Global Smartphone Installed Base Forecast by Operating Systems for 88 Countries: 2007 to 2028
TechInsights WSS channel forecasts the growth rate of global smartphone installed base will slow down to +2% YoY in 2023, blaming the weakened consumer demand associated with the persistent macroeconomic headwinds and uncertainties, the mixed China demand after re-opening, and the prolonged geo-political tensions across the globe.OPPO (OnePlus) Delivered Solid Growth in China’s 6.18 Online Shopping Festival
China’s second largest online shopping event 6.18 (June 18th) posted a mixed result on smartphone sales with the volume and value decline this year.Qualcomm QET6105 Envelope Power Tracker Basic Floorplan Analysis (RFEF)
This report presents a basic floorplan analysis (BFR) of the Qualcomm QET6105 Envelope Power Tracker, extracted from the Samsung Galaxy S23 SM-S918U smartphone.Bestechnic BES2700YP Bluetooth 5.3 Audio SoC Floorplan Analysis (IoTB)
This report presents a basic floorplan analysis (BFR) of the Bestechnic BES2700YP Bluetooth 5.3 Audio SoC, extracted from the Huawei FreeBuds Pro 2 wireless earbuds.
Intel’s IFM and IMS. Lam’s new Bevel-Dep tool.
Intel’s IFM and IMS. Lam’s new Bevel-Dep tool. G. Dan Hutcheson The Chip Insider® Summary: What’s Happening and What’s the Meaning: Intel adopts Internal Foundry Model … Stock falls: Was it correlation with or without causation? … AMD also fell … isSamsung 1a 16Gb LPDDR5X DRAM Transistor
This report presents Key DC electrical characteristics for NMOS and PMOS transistors located in the word line drivers and sense amplifiers regions of the Samsung K4L6E165YC die found inside the Samsung K3KL3L30CM-BGCT LPDDR5X SDRAM package.SunLune Jasminer X4 Ethereum Miner ASIC Advanced CMOS Essentials
This report presents an advanced CMOS essentials (ACE) analysis of the SunLune Jasminer X4 Ethereum miner ASIC.Micron Technology MT29F4T08EMLCHD4-RES_C 232-Layer 3D NAND Flash Memory Advanced Memory Essentials
This report presents an advanced memory essentials (AME) of the Micron Technology MT29F4T08EMLCHD4-RES_C 232-Layer 3D NAND flash memory, extracted from the Inland TD510 2 TB solid state drive.
Sony Introduces the First Line-up of LYTIA Branded CIS Products for Smartphones
Sony Introduces the First Line-up of LYTIA Branded CIS Products for Smartphones Share This Post The Smartphone industry has begun to embrace the arrival of DSLR-level capabilities in today’s smartphone cameras. The introduction of large format 1-inchGlobal Smartphone Monthly Shipments (Sell In), Sales (Sell Through) and Inventory Variance by Vendor: June 2023
TechInsights tracked global smartphone shipments (sell in) and sales (sell through) went down -7% YoY and -8% YoY in May 2023 respectively.eSIM for Massive IoT: New IoT eSIM Specification (SGP.32)
On 26th May 2023, the latest IoT eSIM specification (SGP.32) was released by GSM Association.2023 ADAS ECU Market Share Estimates
This Excel file contains ECU market share estimates for key ADAS technologies - a market expected by TechInsights to be worth over $15.5 billion in 2023.Global Wearables Microvendor Market Share: Q1 2023
A huge number of second-tier MICROVENDORS are playing a meaningful role in the global wearables market, flooding the market with new, niche, and segmented offerings.
Visibility remains low as we enter 2H23 (June Update)
Visibility remains low as we enter 2H23 (June Update) Shereen Vaux Order activity for semiconductor equipment increased but remains at a cold 42 °F. There were some notable changes made to our forecasts this month. ICs were upgraded; sales are now
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics ReportApple M2 Max APL1111 SoC Processor TSMC N5P FinFET HKMG CMOS Process Digital Floorplan Analysis
This report provides an analysis of the floorplan design used in the Apple M2 Max APL1111 SoC Processor, fabricated using TSMC N5P FinFET HKMG CMOS process.Global Military Land Radio Market and Technology Forecast 2021- 2031
End Market Analysis forecasts global land military radio expenditure will approach $7.2 billion in 2031, representing a CAGR of 3.2%.Global Military Airborne Radio Market and Technology Forecast 2021- 2031
End Market Analysis forecasts global military airborne radio expenditure will approach $2.6 billion by 2031, representing a CAGR of 3.2%.Global Military Airborne VSAT Market and Technology Forecast 2021-2031
End Market Analysis forecasts the global airborne military VSAT expenditure will approach $1.9 billion by 2031, representing a CAGR of 3.8%.Global Military Communications Market 2021-2031
End Market Analysis forecasts that global military communications expenditure will approach $46.9 billion in 2031 from $31.2 billion in 2021, representing a CAGR of 4.2%.Germany Handset Vendor Marketshare by Operator: Q1 2023
We estimate 6.0 million handsets were shipped in Germany in Q1 2023, declining by -12% YoY during the quarter.Taiyo Yuden FSDCSQ8T782MK2J6 Surface Acoustic Wave (SAW) Filter Process Analysis
This report presents a process analysis (AFS) of the Taiyo Yuden FSDCSQ8T782MK2J6 Surface Acoustic Wave (SAW) Filter, extracted from the Honor 70 5G smartphone.ams OSRAM ISP Die from Mira220, 2.2 MP Resolution, 2.79 μm Pixel Pitch Stacked Back-Illuminated NIR Enhanced Voltage Domain Global Shutter CMOS Image Sensor Standard Floorplan Analysis
This report presents a standard floorplan analysis (FAR) of the ams OSRAM ISP Die from Mira220, 2.2 MP Resolution, 2.79 μm Pixel Pitch Stacked Back-Illuminated NIR Enhanced Voltage Domain Global Shutter CMOS Image Sensor.
Webinar: The Rise of Automotive Subscriptions
This webinar will review the progress toward this vision thus far, the barriers to adoption, and the emerging opportunities for car makers, service providers, and other market sectors.
Advantest reigns as the number 1 ATE supplier
TechInsights invites you to learn about each recipient of the 2023 Customer Satisfaction Survey. This week: ADVANTESTGlobal Military Land Satellite Terminal Market and Technology Forecast 2021-2031
End Market Analysis forecasts the land military satellite terminal expenditure will approach $4.9 billion by 2031, representing a CAGR of 3.7%.Global Military VSAT Market and Technology Forecast: 2021-2031
End Market Analysis forecasts the global military VSAT expenditure will approach $8.3 billion by 2031, representing a CAGR of 3.9%.Deep Dive Teardown of the Bose Radio Amplifier Hummer EV 85567263 Audio Amplifier
The Bose Radio Amplifier can standby and mute the amplifier in the CAN network way.Toyota Unveils New Technologies to Underpin BEV and FCEV Strategy
Toyota Motor Corporation held a technical briefing session entitled “Toyota Technical Workshop” disclosing their strategy for the next-generation of battery electric vehicles and hydrogen fuel cell vehicles.Analysis: Smartphone Vendor Market Share for 60 Countries: Q1 2023
Analysis: Smartphone Vendor Market Share for 60 Countries: Q1 2023 Share This Post Global smartphone volumes declined by -14% YoY in Q1’23. The top 60 countries accounted for 93% of these in the quarter. Samsung led in majority of the markets. HowSmartphone Vendor Market Share for 60 Countries: Q1 2023
Global smartphone shipments declined by -14% YoY in Q1 2023.Global Smartphone Sales Forecast by Operating System for 88 Countries: 2007 to 2028
TechInsights forecasts global smartphone sales volume will decline -2% YoY in 2023.ANALYSIS: Global 5G and LTE Handset Revenue and ASP by Vendor: Q1 2023
Global 5G handset industry revenues and shipments stagnated in Q1 2023.MPS MPM3683-7 16 V, 8 A Step-Down Power Module Package-in-Package QFN Power Package Analysis
This report presents a power package analysis of the MPS MPM3683-7 16 V, 8 A Step-Down Power Module Package-in-Package QFN.
Rebellions Optimizes Chip For Latency
South Korean AI startup Rebellions has launched Atom, an AI-accelerator card targeting the high-frequency trading market. The company has raised over $100 million and has released ResNet-50 MLPerf benchmark performance data.
CXM GPUs Add HDR to Mainstream
Imagination Technologies’ new licensable CXM GPU family brings high-dynamic-range (HDR) support to its mainstream offering. With three new models, the company claims high area efficiency.
Intel Merges Habana With Xe GPUs
The company has streamlined its data-center AI roadmap, creating a new version of Falcon Shores that combines the Xe GPU architecture with some aspects of Habana’s AI design, which is delayed to 2025.VALUE SHARE: Global 5G and LTE Handset Revenue and ASP by Vendor: Q1 2023
5G wholesale handset revenues are declined due economic and geopolitical turbulence.Analysis: Bluetooth Tracker Device Global Sales Forecast through 2028
The number of Bluetooth tracking devices sold globally in 2022 increased by 50% over the number sold the prior year.Global Bluetooth Tracker Device Sales Forecast through 2028
The number of Bluetooth tracking devices sold globally in 2022 increased by 50% over the number sold the prior year.Global Military Radio Market and Technology Forecast 2021-2031
End Market Analysis forecasts the global military radio expenditure will approach $11.6 billion in 2031, representing a CAGR of 3.3%.Global MIL Shipborne Sat. Terminal Market Tech Forecast 2021-2031
End Market Analysis forecasts global military shipborne satellite terminal expenditure will approach $1.5 billion by 2031, representing a CAGR of 4.6%.Efficient Power Conversion EPC2308 GaN HEMT Power Package Analysis
This report presents a power package analysis (PKG) of the Efficient Power Conversion EPC2308 GaN HEMT.Wireless Operator Performance Benchmarking Q1 2023
Mobile operator EBITDA margins continued their slow but steady decline in Q1 2023 as inflationary pressure on OPEX, across energy and the workforce in particular, were not quite matched by the 4% growth in revenue achieved in part through price increases.North America Tablet Vendor & OS, Unit & Value Market Share by Country: Q1 2023 Results
After beating the global market during the holiday quarter, North American tablet shipments lagged global growth rates at -22% year-on-year in Q1 2023.Global Military Shipborne Radio Market and Technology Forecast 2021-2031
End Market Analysis forecasts global military shipborne radio expenditure will approach $1.8 billion by 2031, representing a CAGR of 3.6%.UK Handset Vendor Marketshare by Operator: Q1 2023
We estimate 5.5 million handsets were shipped in the UK in Q1 2023, dipping by -8% YoY. Apple kept no.1 handset vendor across all four major UK operators during the quarter, largely boosted by the strong demand of the iPhone 14 series.Global Fitness Band Vendor Market Share by Region: Q1 2023
Global Fitness Band shipment declines slowed their steep drop-off, falling by just 12% in Q1 2023, after collapsing by roughly 40% for the prior four consecutive quarters.Sony 1/1.35” Format, 48 MP, 1.12 μm Pixel Pitch, Stacked Back-Illuminated (Exmor T – 2 Layer Transistor Pixel) CMOS Image Sensor with Dual Photodiode (OctaPD) Device Essentials Folder
This report presents a device essentials (DEF) of the Sony 1/1.35” Format, 48 MP, 1.12 μm Pixel Pitch, Stacked Back-Illuminated (Exmor T – 2 Layer Transistor Pixel) CMOS Image Sensor with Dual Photodiode (OctaPD), extracted from the Sony Xperia 1 V rear wide-angle camera.Mobile Data Evolution and 5G Monetization
Global mobile data traffic increased 60% over the last two years, influenced locally by the maturity of 5G, MBB, and FWA services, and levels of competition.China: Smartphone Shipments & Marketshare by Model: Q1 2023
China is the world's largest smartphone market. It delivers high volume and revenue to device makers, component suppliers and operators.Smart Speaker Vendor & OS Shipment and Installed Base Share for 13 Countries: Q1 2023
Global smart speaker and smart display shipments in Q1 2023 declined by 6.9% year-over-year to 33 million units, marking the second quarter of market contraction in a row.Deep Dive Teardown of the Huawei Pocket S BAL-AL60 Smartphone
The Huawei Pocket S was released on November 11, 2022. This is a cheaper version of another flip smartphone, the Huawei P50 Pocket.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Retro Tech: Nintendo DS
Discover the groundbreaking Nintendo DS, a handheld gaming console with dual screens and touchscreen capabilities. Explore innovative gameplay and interaction possibilities with its unique design. Learn more about this iconic device in the TechInsights Platform's original Teardown.
Webinar: Connected TV in 2023 and Beyond
This webinar will explore the burning issues that all Connected TV industry stakeholders should be focussed on as they look to drive growth against the backdrop of a weak economic environment. During this webinar, gain exclusive insight into expectations for 2023 and what the leading innovations are within the Connected TV space.ANALYSIS: Global Smartphone 5G Shipments Forecast by Region to 2024
Global 5G smartphone shipments climbed by low double digits YoY in 2022 and growth will remain low in 2023 due to economic turbulence.Global 5G mmWave Smartphone Shipments Forecast by Vendor by Quarter to 2024
5G mmWaveis the fast high-frequency connectivity method that is part of the bigger 5G family.Global 5G Smartphone Shipments Forecast by Vendor by Region by Quarter up to 2024
Apple's share is slowly eroding but it will keep peak position through strong iPhone sales in 2023 and 2024.Tablet Shipments, Revenue, Installed Base, and Penetration Forecast by Form Factor by 88 Countries 2010-2028: Q2 23 Update
The basic slate is still a favorite device for casual, lean-back activities such as watching videos, gaming, and social media.Automotive: Generative AI in the Infotainment Market and Beyond
In the span of less than a year, OpenAI’s generative AI models have upended the tech industry.Notebook PC Vendor & OS Unit & Value Market Share by Region: Q1 2023 Results
Tough macroeconomic conditions and a continued lull in notebook demand led to a precipitous drop in shipments in the 1st quarter of 2023 which declined -30% versus the same quarter a year ago.Deep Dive Teardown of the Razer Edge 5G RZ45-0460VWQ Tablet
The Razer Edge 5G RZ45-0460VWQ is a handheld gaming console created in collaboration with Verizon.Survey Plus Teardown of the Xiaomi Redmi Note 12 5G 22101317C Smartphone
The Xiaomi Redmi Note 12 5G has three cameras. The main one is a 48 MP Wide-Angle Rear Camera with image sensor made by OmniVision.Global Smartwatch OS Market Share by Region: Q1 2023
Global smartwatch shipments declined for the second consecutive quarter during Q1 2023, after Q4 2022 marked the first YoY decline since 2016 as consumers reigned in discretionary spending causing a lengthening replacement cycle amid macroeconomic weakness.Battery Cell Technology & Fitment Database
This database provides a panoramic view of key battery cell manufacturers worldwide, covering the areas of their product roadmap, manufacturing capacity, relationships with OEMs and non-OEMs, as well as their raw material suppliers.Survey Plus Teardown of the Vivo Y16 V2204 Smartphone
The Vivo Y16 as the successor to the Y15 draws a lot from its predecessor.Global Smart Speaker and Screen Vendor & OS Value Market Share by Region: Q1 2023
Global smart speaker and smart display wholesale revenues fell by 3% year-on-year in Q1 2023 to reach just over $2 billion.Smartphone Battery Market Share Q1 2023: ATL Captures 45 Percent Share Despite Revenue Decline
The global market for smartphone battery cells witnessed 8 percent decline in revenues in Q1 2023.Global Smart Speaker and Smart Display Vendor Shipments, Wholesale Revenue, ASP and Price Band by Model: Q1 2023
33 million smart speakers and smart displays shipped worldwide in Q1 2023, generating just over $2 billion in wholesale revenue.LTE / LTE-Advanced Handset Vendor Shipments by Country: Q1 2023
LTE-Advanced market has continued to show a descending curve as more 5G smartphones are shipped to major countries recent quarters.Airborne Electronic Attack Market Forecast: 2021 - 2031
This analysis looks at the total Airborne Electronic Attack (EA) market across region, function, form factor/platform as well as frequency and power categories.Airborne Electronic Warfare Support Market Forecast: 2021 - 2031
This analysis looks at the global Airborne Electronic Warfare Support (EWS) market across domain, function, form factor/platform as well as frequency and power categories.Land Electronic Attack Market Forecast: 2021 - 2031
This analysis looks at the total Land Electronic Attack (EA) market across region, function, form factor/platform as well as frequency and power categories.Land Electronic Warfare Support Market Forecast: 2021 - 2031
This analysis looks at the global Land Electronic Warfare Support (EWS) market across domain, function, form factor/platform as well as frequency and power categories.Shipborne Electronic Attack Market Forecast: 2021 - 2031
This analysis looks at the total Shipborne Electronic Attack (EA) market across region, function, form factor/platform as well as frequency and power categories.Shipborne Electronic Warfare Support Market Forecast: 2021 - 2031
This analysis looks at the global Shipborne Electronic Warfare Support (EWS) market across domain, function, form factor/platform as well as frequency and power categories.Global Electronic Attack Market Forecast: 2020 - 2031
This analysis looks at the Global Electronic Attack (EA) market across domain, function, form factor/platform as well as frequency and power categories.Global Electronic Warfare Support Market Forecast: 2020 - 2031
This analysis looks at the global Electronic Warfare Support (EWS) market across domain, function, form factor/platform as well as frequency and power categories.
Order activity edged higher as visibility remains limited
Order activity edged higher as visibility remains limited Shereen Vaux Order activity for semiconductor equipment edged higher but remains at a cold 41 °F. The increase was driven by Subcon/Advanced Packaging and DAO (Discrete, Analog, and Other)
Mobile RF Briefing
Capitalize on 5G Growth with Innovative RFFE Design Insight
IoT Connectivity SoC Briefing
Leverage the technical innovation and evolution of wireless connectivity for competitive advantage
Intel’s PowerVia and IBM Research’s hybrid bonding
Intel’s PowerVia and IBM Research’s hybrid bonding G. Dan Hutcheson The Chip Insider® Summary: Intel’s PowerVia: Intel disclosed more about its backside power development at this year’s VLSI Symposium, while promising to deliver it in 2024. What itCircuitVision Analysis of the PA Die D1QM7718033 from the Qorvo QM77180 Module
The following is a CircuitVision Analysis report on one of the two power amplifier (PA) dies in the Qorvo QM77180 RF Front-End (RFFE) Module.Enhanced Block Diagram Analysis on the Samsung Galaxy S23 Ultra 5G (S918U) Smartphone
The following is an Enhanced Block Diagram Analysis report on the Samsung Galaxy S23 Ultra 5G (S918U) Smartphone.
Total IC Shipments Forecast to Decline 4% in 2023
According to the new update, after three consecutive years of IC unit growth, a sputtering global economy and weakness in key markets such as PCs and smartphones are expected to drag down worldwide IC shipments.2023 TechInsights Consumer Satisfaction with In-Car Touchscreens Survey Report
TechInsights undertook a worldwide internet-based survey to investigate consumers’ satisfaction with their on-board touchscreen and features.Vivint Smart Home Enters a New Era under Energy Giant NRG
In December 2022 Houston, Texas based energy retailer NRG announced a definitive agreement to acquire Vivint Smart Home.USA Connected TV Vendor Market Share in Q1 2023
The shipments of connected TV devices in USA grew by 1% to reach 19.6 million in Q1 2023, despite macroeconomic fluctuations and high inflation.Western Europe Connected TV Vendor Market Share: Q1 2023
Western European shipments of connected TV devices grew by 2% to reach 12 million in Q1 2023, despite macroeconomic fluctuations and high inflation.Global Connected TV Device Vendor Market Share Q1 2023
Global shipments of TV streaming devices grew by 1% year-on-year during Q1 2023 driven by a strong performance of Sony's PS5 while shipments of both Smart TV and Digital Media Streamers declined.Automotive Semiconductor Industry Performance Snapshot: Q1 2023
Mixed results continued to impact TechInsights’ Auto Semi Index but the push towards electrification continued to drive growth.Have We Reached “Peak Combustion Engine”
With the growing automotive trend towards electrification, the notion of "peak combustion"; or in the wider energy context of "peak oil," is when the pinnacle of a certain technology will occur.India: Smartphone Shipments & Marketshare by Model: Q1 2023
India is the world's second largest smartphone market. It is one of the fastest-growing countries on the planet, with a rising middle class and vibrant competition among device suppliers.Untethered VR Headset Forecast by Device Type: 2014 to 2028
Strategy Analytics expects the untethered VR headset market to be worth over US$6.6B and grow to an installed base of over 51 million units by 2028.Global Cellular Smartwatch Vendor Market Share: Q1 2017 to Q1 2023
Global cellular smartwatch shipment growth accelerated to +15% YoY in the first quarter of 2023, despite a total smartwatch market decline, as cellular penetration rose due to the Apple Watch Ultra and Google Pixel Watch.TV Streaming Platform OS and Vendor Market Share: Q1 2023 (30 Countries)
Global shipments of TV streaming devices grew by 2% year-on-year during Q1 2023 driven by a strong performance of Sony's PS5 while shipments of both Smart TV and Digital Media Streamers declined.RF Industry Review: October - December 2022
Despite a decline in mobile phone shipments, sales increased sequentially for radio component suppliers but profits dropped.In product news, MediaTek broadened its cellular chipset portfolio to better compete with Qualcomm.Automotive Semiconductor Demand Outlook 2021 to 2030
The remnants of the COVID pandemic, the ongoing war in Ukraine, subsequent fears over the global economy, as well as ongoing attempts to fully resolve semiconductor supply issues will continue to factor into the automotive semiconductor outlook.Dedicated AR Headset Forecast by Price Tier 2013-2028 1Q 2023
Strategy Analytics expects the dedicated AR headset market to be worth over $30B and grow to an installed base of just under 90m by 2028.Tablet Vendor & OS, Unit & Value Market Share by Region: Q1 2023 Results
The economic slowdown combined with the post-COVID lull in product demand brought the tablet market down to pre-pandemic territory at 33.4 million units, down -19% year-on-year.Analysis: Amazon, Huawei, OnePlus Broaden Detachable Segment
Despite a tablet market beset by economic challenges and post-COVID saturation, May 2023 was a busy month for global tablet releases.
Order activity was flat last week
Order activity was flat last week Shereen Vaux Order activity for semiconductor equipment was flat at 40°. Activity among the segments was unchanged from the previous week. Memory remains at a freezing 18° as suppliers continue to work downDeep Dive Teardown of the Apple iPad Pro 12.9 (6th Gen) A2437 Tablet
The Apple iPad Pro 12.9” 6th Generation is one of the latest models in the company’s flagship series. It is the successor to the Apple iPad Pro 5th Generation.Deep Dive Teardown of the Huawei P60 Art MNA-AL00 Smartphone
Due to sanctions, Huawei is unable to use Gorilla Glass to cover the display, which led to the development of Kunlun Glass, used by the whole P60 series.
Sony 2-Layer Transistor Pixel Stacked CMOS Image Sensor Early Findings
At the heart of the 48 MP and 1.12 µm pixel pitch main camera in the recently announced @Sony #Xperia 1V, is the world’s first stacked CMOS image sensor with a 2-Layer Transistor Pixel.Sony IMX500 Intelligent Vision CMOS Image Sensor
This report presents an Advanced Floorplan Analysis of the ISP die from the Sony IMX500, intelligent vision CMOS Image sensor for AI processing applications.Infineon IMYH200R012M1H CoolSiC 2000 V 12 mΩ SiC Trench MOSFET Power Floorplan Analysis
This report presents a power floorplan analysis (PFR) of the Infineon IMYH200R012M1H CoolSiC 2000 V 12 mΩ SiC Trench MOSFET.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Retro Tech: Sega Dreamcast
The Sega Dreamcast, a home video game console, made its debut in Japan in November 1998, followed by its release in other regions. Despite its relatively short lifespan, the Dreamcast left a lasting impact on the gaming industry and is fondly remembered by many gamers.
What do Amazon and Google’s Latest Tablet Releases Say about the Market?
Despite a tablet market beset by economic challenges and post-COVID saturation, May 2023 was a busy month for global tablet releases. People are using their tablets for more tasks than ever before, which is why several vendors launched their vision of what mobile computing should look like on a tablet.Smart TV Market Update
Rising inflation, geopolitical conflicts, fears of recession and the tightening squeeze on the cost of living as a result of the ongoing energy crisis continue to negatively impact consumer sentiment across large parts of the world which in 2022 was still leading to reduced demand for big ticket electronics items such as Smart TVs in most markets.Digital Media Streamer Vendor Market Share: Q1 2023 (30 Countries)
Global shipments of streaming media players fell by 2% year-on-year during Q1 2023 as market conditions remain challenging due to persistently high inflation and a supply chain that is stlll in the throes of recovery.Rohm GNP1070TC-Z 650 V 73 mΩ GaN Enhancement Mode Power Transistor Power Floorplan Analysis
This report presents a power floorplan analysis of the Rohm GNP1070TC-Z 650 V 73 mΩ GaN enhancement mode power transistor.Middle East Africa Connected TV Device Vendor Market Share Q1 2023
Shipments of Connected TV Devices across the Middle East Africa region grew by just 0.1% in Q1 2023 as growth in demand for media streamers and game consoles was offset by a small decline in Smart TV sales.Central and Eastern Europe Connected TV Device Vendor Market Share Q1 2023
Shipments of connected TV devices across the Central and Eastern Europe region declined by 17% year-on-year in Q1 2023 as the region continues to suffer from demand weakness as the result of ongoing geopolitical and economic instability.NXP Semiconductors SN200 Secure NFC GlobalFoundries 40 nm LP with Embedded Flash Advanced Memory Essentials Analysis
This report presents an advanced memory essentials analysis (AME) of the NXP Semiconductors SN200 Secure NFC GlobalFoundries 40 nm LP with Embedded Flash.Samsung S5KHP3SP 200 MP 0.56 μm Pixel Pitch BI ISOCELL Tetra2pixel Super QPD CMOS Image Sensor Standard Floorplan Analysis
This report presents a standard floorplan analysis (FAR) of the Samsung S5KHP3SP 200 MP 0.56 μm pixel pitch back-illuminated ISOCELL Tetra2pixel Super QPD CMOS image sensor, extracted from the Honor 80 Pro rear wide-angle camera.YMTC YMC4I0W1TbG1AA1C0 128-Layer QLC 3D NAND Memory Floorplan Analysis
This report presents a memory floorplan analysis (MFR) of the YMTC YMC4I0W1TbG1AA1C0 128-Layer QLC 3D NAND, extracted from the Suneast SE90025ST SE 900 2.5 inch SATA III 512 GB SSD.Central and Latin America Connected TV Device Vendor Market Share Q1 2023
Shipments of Connected TV devices in the Central and Latin America region declined marginally by 1% year-on-year in Q1 2023 as growth in game console sales was offset by a fall in demand for media streaming players.Apple Vision Pro Launches into Overcrowded Niche
Apple has finally entered the market for metaverse hardware. How does the Vision Pro stack up, and what market impact will the device have?YMTC 232L TLC 3D NAND Flash Transistor Characteristics Report
This report presents key DC electrical characteristics for transistors located in different regions of the YMTC 232-layer EET1A die found inside a HikSemi YMC6G008Tb78DA1C0 TLC 3D NAND flash BGA package.Samsung S5525 RF Transceiver Floorplan Analysis
This report presents a basic floorplan analysis (BFR) of the Samsung S5525 RF Transceiver, extracted from the Samsung Galaxy A54 5G smartphone.Combined Connected TV Device Vendor and OS Market Share: Q1 2023 (30 Countries)
Global shipments of TV streaming devices grew by 2% year-on-year during Q1 2023 driven by a strong performance of Sony's PS5 while shipments of both Smart TV and Digital Media Streamers declined.Asia Pacific Connected TV Device Vendor Market Share Q1 2023
Connected TV Device shipments in Asia Pacific grew by 1% in Q1 2023 driven by a 20% increase in IP-enabled Games Console shipments.
Sustainability & Sustainable Fabrication in the Semiconductor Industry
The semiconductor industry is shifting toward sustainability, driven by the need to reduce carbon emissions and create eco-friendly manufacturing processes.SK Hynix D1a 16Gb LPDDR5X Memory Floorplan Analysis
This report presents a Memory Floorplan Analysis of the SK Hynix MDHD5E2100E die found inside the SK Hynix H58GG6AK8H-X094. The SK Hynix H58GG6AK8H-X094 was extracted from the Xiaomi 13 Pro (2210132C).
The Chip Insider - Al: Hot or Hype?
In the world of finance, the stock market recently witnessed a frenzy surrounding artificial intelligence (AI) investments, while other sectors struggled to gain momentum.Deep Dive Teardown of the Honor Magic Vs FRI-AN00 Smartphone
The Honor Magic Vs was released on December 30, 2022. This is a new model in the V line after the Honor Magic V. The mobile runs on the MagicOS7.0 (Android 12) operating system.
Moffett Chip Targets AI Sparsity
Moffett, a startup headquartered in Shenzen, China, has released AI accelerators that address sparsity to increase performance 32x. The company offers three cards spanning a 70–250 W TDP range.
AmpereOne Lowers Server-Rack Power
The Arm-compatible AmpereOne server processor implements 192 single-thread cores of Ampere’s own design in a chiplet-based package.
AMD’s Area Efficiency Beats Intel’s
TechInsights’ floorplan analysis of desktop-PC processors quantifies the size difference among AMD and Intel CPUs.ACCESSORIES: Analysis: Global TWS Shipments by Region and Price Tier Q1’2023
TechInsights reports that global TWS shipments declined annually in Q1 2023 due global geopolitical and economic turbulence.ACCESSORIES: Global Bluetooth TWS Shipments, Revenues and ASP by Price Tier by Vendor: Q1 2023
Apple remains the shipment and revenue leader in Bluetooth True Wireless Stereo (TWS) headsets in Q1 2023.ACCESSORIES: Global Bluetooth TWS Shipments by Region: Q1 2023
Apple remains the shipments leader in Bluetooth True Wireless Stereo (TWS) headsets. AirPod Shipments declined YoY in Q1 2023.Game Console Vendor Market Share: Q1 2023 (30 Countries)
Global game console shipments grew by 32% year-on-year in Q1 2023 to reach 10.3 million units. Shipments of Sony's PlayStation 5 skyrocketed as the company has finally overcome the supply shortages and logistics challenges of the last couple of years.Global Handset / Smartphone / Feature Phone Sales Forecast for 88 Countries : 2007 to 2028
TechInsights has lowered handset and smartphone sales forecast numbers from 2023 onwards due to the uncertain China demand after re-opening, geo-political tensions, and macroeconomic headwinds across the globe.Global Smartphone Shipments Forecast by Vendor by Region by Quarter
TechInsights has lowered smartphone forecast numbers in 2023 and 2024, considering the mixed China market after re-opening, on-going geo-political tensions, and macroeconmic headwinds.5G-Advanced will complete 5G Vision – TechInsights Service Provider Survey results
Presentation sponsored by Nokia summarizes the Top 7 Service Provider Priorities for 5G-Advanced that will complete the Vison for 5G RAN, Services, Devices and Operations Automation through 2030.Deep Dive Teardown of the Wyze Labs Inc Cam Outdoor V2 WVOD 2 Security Camera
The WyzeLabs Inc. Cam Outdoor V2 is a versatile outdoor security camera designed for residential and commercial use. It is designed to withstand various weather conditions including rain, snow, and extreme temperatures.Innoscience INN040W048A 40 V Bi-Directional 4.8 mΩ GaN-on-Silicon Enhancement Mode HEMT from OnePlus Ace 2 Power Essentials
This report presents a power essentials summary (PEG) of the Innoscience INN040W048A 40 V Bi-Directional 4.8 mΩ GaN-on-Silicon Enhancement Mode HEMT, extracted from the OnePlus Ace 2 smartphone.
Al: Hot or Hype?
Al: Hot or Hype? G. Dan Hutcheson The Chip Insider® Summary: Al: Hot or Hype? Last week, the stock market went crazy over anything associated with AI, while the rest of the market languished. Nvidia blew up the market with Jensen’s amazing resultsDeep Dive Teardown of the Honda Accord 2023 Head Unit AH00ICB Automotive
The Honda Accord 2023 Head Unit 8A501-30A-AA10-M1 is a Cockpit Control Unit (CCU) and part of the infotainment system.Survey Plus Teardown of the Xiaomi 13 2211133C Smartphone
The 32 MP front camera on the Xiaomi 13 2211133C uses an OmniVisionOV32C image sensor. Three back cameras are also included.Withings' In-Home Connected Health Station "Body Scan": A UX Review
In this report, we review Withings' innovative and newly released "in-home connected health station" aka "Body Scan".OEM Hydrogen Fuel Cell Vehicles Strategies: Alternative Zero Emission Technology Chasing Battery Electric Vehicles
Hydrogen Fuel Cell Electric Vehicles (FCEVs) are one of the best options in terms of environmental impact.Embedded Voice Assistants in the Home - The Technology
The continued growth of voice recognition technology is inevitable and vendors of CE devices without embedded voice must consider building a Voice Assistant (VA) into their products.BlackBerry Launches its Platform for its Next-Generation Automotive Solutions
At BlackBerry's 2023 Analyst Day the company launched SDP 8.0, which is the foundational software platform for its next generation of solutions, designed for automakers' next generation of E/E architectures.Japan : Smartphone Shipments & Marketshare by Model: Q1 2023
Japan is the world's third largest smartphone market by value. It delivers high revenues to device makers, component suppliers and operators.MediaTek Gives Flagship Processor a Bump
MediaTek's new Dimensity 9200+ comes with modest increases in performance, but has big ramifications for the company's overall product portfolio and strategy especially in relationship to Qualcomm.Enterprise IoT Survey 2023 Country Report: Germany
TechInsights' IoT research channel runs an annual enterprise IoT survey with nine industry verticals across three countries.Amperex Technology Limited BP4D Pouch Li-Ion Battery (Xiaomi Mi 13 Pro) Battery Characterization
This report presents a battery characterization of the Amperex Technology Limited BP4D pouch Li-ion battery, extracted from the Xiaomi Mi 13 Pro.SunLune Technology P3J706-01 (Jasminer X4 ASIC) Stacked Embedded DRAM (SeDRAM) Memory Floorplan Analysis
This report presents a Memory Floorplan Analysis of the UNIC DH40G DRAM die found inside the SunLune Technology P3J706-01.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Order activity increased for the first time this year
Order activity increased for the first time this year Shereen Vaux Order activity for semiconductor equipment increased for the first time this year to 40°. The increase was driven by Other SoC, which jumped nearly 4°. Generative AI has been gainingAutonomous Vehicle Trials Database
This database covers the key companies, government bodies and cities which are trialing autonomous vehicle technologies and infrastructures for further research and development.Space – The Growing Frontiers
Space is a major growth area with many worldwide initiatives underway across both the defence and civil domains.Smart TV Vendor Market Share: Q1 2023 (30 Countries)
Global Smart TV shipments declined by 3% in Q1 to reach just over 41.6 million units.US Fiber-to-the-Premises (FTTP) - Premises Passed 2Q 2023
The US is enjoying a boom in FTTP deployments, driven by unmet consumer and small business needs for high-speed broadband Internet, government and private investment and competitive dynamics.Apple M2 Pro APL1113SoC Processor TSMC N5P FinFET HKMG CMOS Process Digital Floorplan Analysis
This report presents a Digital Floorplan Analysis of the Apple TMNM31 die found inside the Apple M2 Pro APL1113, which contains the TMNM31 die and four DRAM dies. Launched in Q1 2023, the Apple M2 Pro is an SoC processor offering power-efficient performance and extended battery life.SunLune Jasminer X4 Near Memory Etherium Miner with Wafer-to-Wafer Hybrid Bonding Advanced Packaging Essentials
This report provides a deeper insight on the advanced packaging innovations used in the manufacturing of the JASMINER X4 ASIC bitcoin miner chip.STMicroelectronics ISP Die from VB56G4A 1.5 MP Resolution 2.61 μm Pixel Pitch CIS Camera Module Standard Floorplan Analysis
This report presents a standard floorplan analysis (FAR) of the STMicroelectronics ISP Die from VB56G4A 1.5 MP resolution, 2.61 μm pixel pitch CIS camera module.AMD Ryzen 9 7950X3D Processor TSMC SoIC Package Technology Advanced Packaging Quick Look Analysis
This report presents an advanced packaging quick look analysis (APQ) of the AMD Ryzen 9 7950X3D (with second generation 3D V-Cache) processor TSMC SoIC package technology.Smartphone Display Panel Market Share Q1 2023: BOE Gains OLED Share and Leads Shipments
The global smartphone display panel market revenues declined 12 percent year-over-year in Q1 2023.North America Smartphone Vendor & OS Market Share by Country: Q1 2023
Growth in the North American smartphone market declined in dramatic fashion in Q1 2023, extending a slide that began mid-2022.Six of the Top-10 brands recorded negative annual shipment growth.Analysis: Google Plots a Different Course in Tablet Segment
Global smartwatch shipments declined for the second consecutive quarter during Q1 2023, after Q4 2022 marked the first YoY decline since 2016 as consumers reigned in discretionary spending causing a lengthening replacement cycle amid macroeconomic weakness.Global Smartwatch Vendor Market Share by Region: Q1 2023
Global smartwatch shipments declined for the second consecutive quarter during Q1 2023, after Q4 2022 marked the first YoY decline since 2016 as consumers reigned in discretionary spending causing a lengthening replacement cycle amid macroeconomic weakness.Survey Plus Teardown of the Honor Magic5 PGT-AN00 Smartphone
The Honor Magic5 is the fifth generation from the Honors Magic series. Like its predecessor the Honor Magic4, this device is based on the Qualcomm platform.Deep Dive Teardown of the Samsung SmartThings Station EP-P9500 Smart Home Hub
Samsung has upgraded its last Hub Device. The new hub uses the latest Zigbee as well as Bluetooth 5.0.Samsung S5KHP3SP 1/1.4”, 200 MP, 0.56 μm Pixel Pitch, Back-Illuminated, ISOCELL Tetra2pixel and Super QPD CMOS Image Sensor from the Honor 80 Pro Rear Wide-Angle Camera
This report presents a device essentials summary (DEF) of the Samsung S5KHP3SP 200 MP, 0.56 µm Pixel Pitch, back-illuminated, ISOCELL Tetra2Pixel Super QPD CMOS image sensor, extracted from the Honor 80 Pro rear wide-angle camera.India ADAS Market 2023: Safety Becoming a Priority
Automakers in India are offering vehicles with more ADAS features due to consumer demand and government mandates.Murata NJ23-A1 Temperature Compensated Surface Acoustic Wave (TC-SAW) Filter (Murata HFQRXxxxx-K95) Process Analysis
This report presents a process analysis (AFS) of the Murata NJ23-A1 temperature compensated surface acoustic wave (TC-SAW) filter from the Murata HFQRXxxxx-K95 RF front-end module.
Sunwoda-Amperex Technology Limited (ATL) A2519 Lithium-Ion Battery Pack
This report presents an analysis of the Amperex Technology Limited (ATL) A2519 battery found in the Apple MacBook 14” Pro 2023 laptop.
Sony IMX500
The Sony IMX500 is an image sensor that detects, recognizes, and classifies objects and events independently, without relying on a cloud or server connection. A game-changer in the market of mobile processors and AI video processors.Nordic Semiconductor nRF7002 Wi-Fi 6 Companion IC Basic Floorplan Analysis
This report presents a Basic Floorplan Analysis of the Nordic Semiconductor TMQJ42 found inside the Nordic Semiconductor nRF7002. The Nordic Semiconductor nRF7002 Wi-Fi 6 Companion IC is a QFN package.
Texas Instruments LMG2610 650 V GaN Half-Bridge Power IC Power Floorplan Analysis
The LMG2610 device is a half-bridge composed of 650 V gallium nitride (GaN) high-electron-mobility transistors (HEMTs) that is highly integrated and designed to be used in active-clamp flyback (ACF) converters.
Gate-All-Around Cranks Up Logic Speed
Nanosheet transistors are superior to FinFETs for logic transistors, offering an adjustable gate width, superior electrostatic characteristics, and better channel-thickness uniformity as well as faster switching speed. They have drawbacks for SRAM and I/O transistors, however.
Snapdragon 7+ Gen 2 Uses 8+ Gen 1 Die
Qualcomm announced a Snapdragon 7+ Gen 2 that reuses the premium Snapdragon 8+ Gen 1 die with altered specs. Market conditions left the company with excess inventory that it’s moving into the midpremium market.
Axiado Rethinks Server Security, Control
Axiado combined server security and management functions into a single chip to which it added AI acceleration to thwart ransomware.
TSMC’s 2023 Technology Symposium
TSMC’s 2023 Technology Symposium G. Dan Hutcheson The Chip Insider® Summary: TSMC’s 2023 Technology Symposium: The bigger message was more about the world’s new recognition of semiconductors and their importance than it was about TSMC’s forward march
AI Gold Rush Also Boosts Networking
One of the biggest beneficiaries of California’s 1849 gold rush wasn’t a miner, but Levi Strauss, who famously sold the miners clothing.
AMD Navi 31 XTX Graphics Processor Chiplets on Fan-out Redistribution Layer Package
This is an Advanced Packaging Quick Look (APQ) summary document for the AMD Navi 31 XTX graphics processor, provided as a companion deliverable for APQ-2303-802 projects.Analysis: India Smartphone Market Share by Channel by Vendor: Q1 2023
India smartphone market declined by -18% annually in Q1 2023. Samsung topped and was followed by Vivo and Xiaomi in second and third rankings respectively.United Kingdom: Smartphone Shipments & Marketshare by Model: Q1 2023
The United Kingdom is Western Europe's second largest smartphone market.Q1 ’2023: Lenovo-Motorola: Eyes Premium and Enterprise Segment
Lenovo-Motorola’s global smartphone shipments declined -7% YoY in Q1 2023. Wholesale revenue declined double of shipments (-14% YoY) as wholesale ASP too declined -7% YoY.ADAS Mandate NCAP Tracker
This tracker is a top-level summary of the various mandates and New Car Assessment Program (NCAP) incentives and requirements that are either currently implemented or proposed across the globe.Analysis: Global Foldable Display Smartphone Shipments by Region and Type Q1’2023
Foldables remain a niche segment, and while Samsung holds a narrow lead, competition is already threatening its dominance.Global Foldable Display Smartphone Vendor Market Share by Design Type: Q1 2023
Samsung was the leader in foldable display smartphone shipments in Q1 2023, followed by Huawei and OPPO.Global Foldable Display Smartphone Vendor Market Share by Region: Q1 2023
Samsung was the leader in foldable display smartphone shipments in Q1 2023, followed by Huawei and OPPO.
MediaTek MT6639 is Wi-Fi 7 ready!
Given the benefits of higher throughput and speeds available in Wi-Fi 7, market leaders such as Qualcomm and MediaTek are looking to ensure their systems on chips (SoCs) are Wi-Fi 7 ready/compliant.
Vivo IQOO Neo 7 V2231A Smartphone
Released in October 2022, the Vivo IQOO Neo7 has been equipped with a new generation processor –the MediaTek Dimensity9000+ (4nm technology) providing the efficient operation of this device. In comparison to the 9000 version, it has a 5% increase of CPU power and a 10 % increase of GPU power.Baseband Market Share Tracker Q1 2023: Qualcomm, MediaTek takes big hits but bottom is in sight
This report tracks the quarterly cellular baseband unit shipments, ASPs and revenues of 21 baseband chip vendors across six air interface technologies and includes data from Q1 2008 to Q3 2023.
SMC Diode SolutionsS2M0040120K1200 V 40 mΩ SiC MOSFET Transistor
This report presents a power floorplan analysis (PFR) of the SMC Diode Solutions S2M0040120K 1200 V 40 mΩ first generation SiC MOSFET transistor.India Smartphone Market Share by Channel by Vendor: Q1 2023
India Smartphone market declined by -18% annually in Q1 2023. Samsung, Vivo and Xiaomi were the top three vendors.BlackBerry Analyst Day 2023: BlackBerry Launches its Platform for its Next-Generation Automotive Solutions
At BlackBerry’s 2023 Analyst Day the company launched SDP 8.0, which is the foundational software platform for its next generation of solutions, which are designed for automakers’ next generation of E/E architectures.Global 5G Business Smartphone Shipment Forecast 2023-2028
TechInsights predicts 5G business smartphone shipments will grow at a compound annual growth rate (CAGR) of 17% over the 2023-2028 forecast period.Japan Handset Vendor Marketshare by Operator: Q1 2023
Japan handset shipments declined by -11% annually in Q1 2023.Global Wearables Vendor Market Share: Q1 2023
The race for the top wearables spot continues as Apple, Huawei, and Samsung battle it out.Smartphone Fingerprint Sensor Market Share 2022: Double-Digit Decline in Revenue, FoD share Grows
The global smartphone fingerprint sensor market experienced a revenue decline of more than 15 percent in 2022.USA: Smartphone Image Sensor Market Share Q1 2023: Sony Dominates Ranking, Exceeds 55 Percent Share
The global smartphone image sensor market crossed USD 3 Billion in Q1 2023.
With 2H23 right around the corner, will the rebound happen?
With 2H23 right around the corner, will the rebound happen? Shereen Vaux Order activity for semiconductor equipment continued to hit new lows. Mobile Compute and Digital Consumer segments led the decline, reflecting persistently weak end demand. On a
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
THE HIGHEST- RATED SUPPLIERS OF TEST CONNECTIVITY SYSTEMS ⃰ - PROBE CARD SUPPLIERS
Technoprobe and FormFactor earn 5 Stars from customers as the 2023 Highest-Rated Test Connectivity Suppliers in the TechInsights Customer Satisfaction Survey.Embedded Voice Assistants in the Home: The Technology
The continued growth of voice recognition technology is inevitable and vendors of CE devices without embedded voice must consider building a Voice Assistant (VA) into their products.
Sony CXD5610GF GlobalFoundries 22FDX eMRAM Memory Floorplan Analysis
This report presents a Memory Floorplan Analysis of the GlobalFoundries CXD5610_die found inside the Sony CXD5610GF.
Cissoid CMT-PLA9869 1200 V 40 mΩ SiC Floorplan Analysis
This report presents a Power Floorplan Analysis of the CISSOID CMTPLA9869 device.
Skyworks BA027Film Bulk Acoustic Resonator (FBAR) Acoustic Filter from Skyworks 53838-17 RF Front End (RFFE) Module Process Analysis Report
This report contains the results of the process analysis of the Skyworks FBAR filter die BA027 from the Skyworks SKY53838-17 RFFE module.onsemi AR0822, 1/1.8”, 8.0 MP Resolution, 2.0 μm Pixel Pitch Back-Illuminated CMOS Image Sensor for Security and Surveillance Applications Device Essentials Summary
This is a Device Essentials Folder for the onsemi back-illuminated CMOS image sensor (CIS) with high dynamic range (HDR) for security and surveillance applications.Deep Dive Teardown of the Samsung Galaxy Book 3 Pro 940XFG-KC2 Laptop
The Galaxy Book3 Pro features a Glass AMOLED display supplied by Samsung. The 14-inch display panel offers up to 3K resolution (2880x1800).Deep Dive Teardown of the Magic Leap Magic Leap 2 M90AA004 AR Headset
The Magic Leap 2 is a pair of adjustable augmented reality googles designed to minimize pressure on the user’s eyes and nose.Canada Smartphone Vendor Marketshare by Operator: Q1 2023
Smartphone shipments in Canada contracted slightly in Q1 2023 compared to the year-ago quarter, with four of the leading vendors seeing shipments improving year-on-year.Samsung Levelling Up Their Smartwatches With Medical-Grade Heart Monitoring
In this report, we examine the recent announcement made by Samsung regarding the inclusion of an FDA-cleared Irregular Heart Rhythm Notification feature (IHRN).ADAS Semiconductor Demand Forecast - May 2023
This update sees small changes to the underlying vehicle production forecast - which are slightly negative in the medium to long-term.Advanced Driver Assistance Systems Forecast - Data Tables - May 2023
This update shows a slightly better ADAS outlook than previously expected, despite a lower vehicle production forecast.Advanced Driver Assistance Systems Forecast - May 2023
This update shows a slightly better ADAS outlook than previously expected, despite a lower vehicle production forecast.USA: Smartphone Shipments, Marketshare & Wholesale ASP by Model: Q1 2023
The United States is the world's most influential smartphone market. It delivers high volume and revenue to device makers, component suppliers and operators.Shanghai Auto Show 2023: Automotive Cockpit Intelligence and the Convergence of Smartphones and Cockpits are Key Themes
The 2023 Shanghai Auto Show was held April 18-27. Exhibitors included OEMs, automotive suppliers and other companies in the market.Analysis: Top 10 US Interactive Security Providers – May 2023
This report is TechInsights annual compilation of the Top 10 providers of Interactive residential security services in the US.Survey Plus Teardown of the Xiaomi Redmi K60 23013RK75C Smartphone
The Xiaomi Redmi K60 23013RK75C is a mid-range smartphone based on the Qualcomm platform.
Semidynamics Performs RISC–V Surgery
Semidynamics Performs RISC–V Surgery Semidynamics offers fully customized RISC–V CPUs for clients requiring capabilities beyond what’s readily available. The ability to maintain many outstanding memory requests boosts performance for applications
Broadcom Retargets Jericho at AI Clusters
Broadcom is challenging the conventional topology linking AI engines in training supercomputers/clusters, offering a version of its Jericho Ethernet switch chip set for AI.
Hailo Releases Second-Generation Chip
Israeli startup Hailo has released the Hailo 15, its second-generation chip for smart cameras. Hailo 15 is a standalone processor with an AI engine and comes in three variants ranging from 7 to 20 TOPS.
Everyone's looking for the bottom (May Update)
Everyone's looking for the bottom (May Update) Shereen Vaux Order activity for semiconductor equipment continued to trend lower, slipping to a new low for the year. Overall activity has fallen below the 2019 lows and it’s still looking for the bottomAnalysis: PC & Tablet Market Declines in Q1 as Leaders Lenovo and Apple See Largest Drop
This field is used for the Short Desc in the eStore Report Store Item.In the first quarter of 2023, total shipments of tablets and notebook PCs declined a combined -25%.VALUE SHARE: Global Smartphone Revenue, ASP and Profit by Vendor by Price Tier: Q1 2023
Global smartphone industry wholesale revenue declined -5% YoY due to smartphone shipments which fell -14% YoY in Q1 2023, while smartphone ASP grew +10% YoY reaching the record high first quarter performance.USA: Viaplay Expands Addressability with Roku
When Viaplay decided to expand to the U.S., Canada, and the U.K. that was the easy part. The real challenge lay in getting the various connected TV (CTV) device manufactures to integrate the Viaplay app into their devices.USA: Smartphone Shipments & Marketshare by Model: Q1 2023
The United States is the world's most influential smartphone market. It delivers high volume and revenue to device makers, component suppliers and operators.USA Handset Vendor Marketshare by Operator: Q1 2023
Handset shipments in the United States slumped year-on-year in Q1 2023. Apple led across major carriers, followed by Samsung, which led Android, followed by Motorola, and TCL-Alcatel.Handset Revenue & ASP By Vendor by Region: Q4 2022
Global Wholesale Handset industry revenues declined by -12% annually in Q4 2022. Apple, Samsung, and OPPO were the top three vendors.USA Smartphone Vendor Marketshare by Operator: Q1 2023
This field is used for the Short Desc in the eStore Report Store Item. Smartphone shipments in the United States were sharply down on annual basis in Q1 2023.VR Headset Forecast by Device Type 2014-2028 1Q 2023 Publication
TechInsights expects the VR headset market to be worth just under $10B and grow to an installed base of 65 million units by 2028.Q1 '2023: OPPO (OnePlus): OnePlus Brand Resilient
OPPO (OnePlus)’s smartphone shipments went down -7% YoY in Q1 2023. It was the six quarter in a row that the vendor posted annual decline.
Q1 '2023: Transsion: Triple Digit Growth in Central Latin America
Transsion’s global smartphone shipment decline -12.6% YoY, along with the total handset shipment went down -4.6% YoY.
Deep Dive Teardown of the PlayStation VR2 CFI-ZVR1 VR Headset
The Sony PlayStation VR2 is a second-generation VR headset by Sony, dedicated for use with PlayStation consoles.
Automotive LiDAR GaN Design Wins
Light detection and ranging (LiDAR) is a technology with huge growth potential. The first concepts were introduced in the 1960s, shortly after the invention of the laser, and the recent opportunities in surveying, aerospace, and autonomous vehicles have really driven growth.
THE BEST Semiconductor Equipment Supplier Rankings for 2023
Congratulations to THE BEST Suppliers of 2023. Here is what Customers rated them best at and how they are rated overall.
Qualcomm HB15I ultraBAW Bulk Acoustic Wave (BAW) Acoustic Filter from Qualcomm QPM6679 5G RF Front End Module Process Analysis Report
This report contains the results of the process analysis of the Qualcomm bulk acoustic wave (BAW) resonator acoustic filter die HB15I from the Qualcomm QPM6679-1V3.
Global Handset Vendor Marketshare for 15 Countries : Q1 2023
Global handset shipments declined significantly again by -18% annually in Q1 2023, impacted by many unfavorable issues including sluggish consumer demand, geo-political issues, and rising inflation across the world.
SonyIMX500, 1/2.3”, 12.3 MP, 1.55 μm Pixel Pitch Stacked Back-Illuminated Exmor RS CMOS Image Sensor with Embedded Artificial Intelligence for Machine Vision Device Essentials Summary
The IMX500 sensor is intended for use in enterprise-class security cameras and monitors in industrial equipment systems.
10 BEST Semiconductor Equipment Supplier Rankings for 2023
Advantest, ASML, ASMPT Limited, FormFactor, and EV Group earn TechInsights' Five-Star Ratings in the 2023 10 BEST.
Recovered Cobalt in Rechargeable Batteries
A Game-Changer for Sustainability or a Compromise on Performance?
TCL LinkHub 5G HH515V Router
The TCL 5G, WiFi6 home link hub is designed basis on FibocomFG360-EAU 5G Communication Module prepared in line with Europe market regulations.
VR Headset Platform Share 2014-2022
This report examines the share of shipments, installed base, and revenues for each of the major platforms. These include Google Cardboard, Daydream, Samsung Gear VR, HTC, Oculus, Windows MR and Sony PSVR.
SK Hynix D1a EUV 16 Gb DDR5 DRAM Transistor Characteristics
This report presents Key DC characteristics for NMOS and PMOS transistors located in the word line drivers and sense amplifiers regions of the SK Hynix MDHD5C20101die found inside the SK Hynix H5CG48AGBD-X018 DDR5 SDRAM package.
Micron 2400 MTFDKBK2T0QFM SSD
The Micron 2400 SSD is the world’s first 176-layer QLC NAND based PCIe Gen 4.0 x 4/NVMe 1.4 SSD.
Apple HomePod 2nd Gen A2825 Smart Speaker
The Apple HomePod 2nd Gen A2825 is a voice-interactive smart speaker and home assistant. Compared to the A16339, the has seven microphones (one more than the A1639) and beam-forming technology allows the user to perform a wide range of actions by using voice commands.
Samsung K3KL4L40DM-BGCT LPDDR5X DRAM Memory Floorplan Analysis
This report presents a Memory Floorplan Analysis of the Samsung K4L2E165YD die found inside the Samsung K3KL4L40DM-BGCT.
Recycled Cobalt in Rechargeable Batteries
This article explores the multifaceted landscape of cobalt in technology, the environmental impacts of cobalt extraction, and the opportunities and challenges posed by the growing demand for recycled cobalt.
MediaTek Dimensity 9200 Application Processor TSMC 4 nm
This report presents a Digital Floorplan Analysis of the AHJ11296B die found inside the MediaTek MT6985W application processor. The MediaTek MT6985W was extracted from a Vivo X90 Pro 5G smartphone.
Apple iPhone Shipments by Model: Q2 2007 to Q1 2023
Apple global iPhone shipment marginally declined -4% annually during Q1 2023, overperforming overmarket which went down -14% YoY.
U-Bolt Pro Smart Lock
Can Lower-Cost Smart Locks Open the Door to a $4.4B Smart Lock Market? Our ebook highlights the broad range of content available to TechInsights’ clients across our User Experience, Teardown, and Market Analysis capabilities.
Was the 2021 chip shortage real?
Was the 2021 chip shortage real? G. Dan Hutcheson The Chip Insider® Summary: Was the 2021 chip shortage real? This is the story of how the COVID Pandemic morphed into a Shortage Fever Pandemic, as the pandemic led to a shortage which turned into a
TPUv4 Interconnect Cuts Cost, Power
Google employs optical interconnect when building a 4,096-node AI supercomputer featuring its TPUv4 accelerator chip. The result is much higher potential performance coupled with a sharp drop in carbon emissions.
Dimensity 9200 Gets a Boost
The Dimensity 9200+ is a midlife kicker for MediaTek’s flagship smartphone processor, offering 5–10% more CPU and graphics performance than the original 9200. The company also renamed its low-end 5G products.
Component Price Landscape: First-Quarter 2023 Report
TechInsights is pleased to present the findings of the first-quarter 2023 report on The Component Price Landscape (CPL). This report provides valuable insights into the current state of the global macroeconomy and the electronics industry.
Q1 2023: Uber Approaches Profitability, Lyft Struggles
Uber’s results reflect a clever strategy of hedging against weaknesses in driver recruitment and retention as well as weakness in demand. Lyft has turned to layoffs to compete with Uber almost exclusively on price.
Order Activity Continues to Cool
Order Activity Continues to Cool Shereen Vaux Order activity for semiconductor equipment slipped a degree to a cold 41°. All segments moved lower as end demand remains weak, resulting in a slower pace of inventory digestion. In the mobile market
Design Costs too high? What’s after Moore’s Law?
Design Costs too high? What’s after Moore’s Law? G. Dan Hutcheson The Chip Insider® Summary: Are Semiconductor Design Costs too high? Since the seventies, there have been two common themes about cost leading to the destruction of the semiconductor
Flex Logix Drops Chips, Sticks With IP
Flex Logix Drops Chips, Sticks With IP Flex Logix is changing its business model, opting to offer its InferX block as IP and ceasing chip-building operations. It’ll serve chipmakers requiring either AI inference or DSP capability. Bryon Moyer Flex
Google Discloses TPUv4 Details
Google Discloses TPUv4 Details Google’s TPUv4 excels at AI models employing embeddings owing to its sea of SparseCores that supplement its two main cores. Targeting inference, the TPUv4i has only a single larger core to reduce power. Joseph Byrne
Synaptics Katana Targets Edge AI
Synaptics has launched its AI-enabled Katana processor for battery-operated edge devices in the security, biometrics, and smart-appliance markets. The chip includes an Arm CPU with a Tensilica DSP and a custom neural-network accelerator.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Innoscience INN40W08 40 V Bi-Directional GaN
Gallium nitride (GaN) power semiconductor technology is disrupting the market in consumer electronics. This is a landmark moment in the success of wide bandgap technology and a further reputation boost to the reliability of a GaN device.
Order activity remains frigid
Order activity remains frigid Shereen Vaux Order activity for semiconductor equipment held at a cold 42°. SoC Mobile and SoC Digital Consumer continued to weaken. Subcon/Advanced Packaging hit a new low for the year as visibility remains low. Memory
China’s Semiconductor Strategy
China’s Semiconductor Strategy G. Dan Hutcheson The Chip Insider® Summary: China’s Secret Semiconductor Strategy & Tactics: As everyone knows, China’s public Semiconductor Strategy rests on Made in China 2025 and mil-civ fusion. The first was
Nordic, Silicon Labs Refresh Wireless SoCs
Nordic Semiconductor and Silicon Labs have updated their wireless multiprotocol SoCs for home and factory systems. Nordic improves the processing and radio, while Silicon Labs fills a package-size gap in its lineup.
TI Rolls Out Vision-Analysis Processors
Texas Instruments has launched Arm-based embedded processors for video analysis. They integrate the company’s proven C7x DSP and matrix unit to accelerate AI.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
It’s Going to be a Cold and Rainy Spring
It’s Going to be a Cold and Rainy Spring Shereen Vaux Order activity for semiconductor equipment fell by another point, hitting a new record low for the year. All segments moved lower as sentiment continued to weaken. ASML delivered another strong
SNUG, Inflation and our Industry
SNUG, Inflation and our Industry G. Dan Hutcheson The Chip Insider® Summary: SNUG: The Synopsys users group symposium … Synopsys decision, leadership, and management processes around integrating AI … HI and Chiplet Advances … How chiplets will grow
A Closer Look at the Motorola Edge 2022 (XT2205-3M)
The First FR2-capable Smartphone Built with MediaTek’s SoC chipset (Dimensity 1050)
Chatbots Can’t Yet Replace Analysts
Chatbots Can’t Yet Replace Analysts ChatGPT and other chatbots have raised concerns that they could replace humans. We analyze their relevance to the task of analysis and explain their inadequacies. Anand Joshi Chatbots have created a stir over
Startup Lemur Reduces RAM Requirements
Lemur Imaging’s LMR technology compresses image data by up to 50% with no visually perceptible loss in typical cases. A guaranteed compression ratio lets chips function with smaller image buffers.
Huge Hot Hopper Exhibits Energy Efficiency
The Version 3.0 MLPerf Inference results show data-center AI engines making gains relative to tests from six months ago. Nvidia continues post the highest scores, but Qualcomm achieved power-efficiency leadership on a couple tests.
It's always the darkest before dawn
It's always the darkest before dawn Shereen Vaux Order activity for semiconductor equipment held at a cold 43˚. There was no discernable change in activity among segments last week, as visibility for equipment suppliers remains limited. There were
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
China’s Tech standards and foreign IP challenges
China’s Tech standards and foreign IP challenges G. Dan Hutcheson The Chip Insider® Strategy and Tactics: China’s Tech standards and foreign IP challenges Summary: Can China be successful with a standards wall strategy? Why China doesn’t respect
Ambarella CV72S Targets Security
Ambarella has launched the new CV72S, incorporating its third-generation CVflow architecture with a neural-network accelerator and hardware image signal processor. The chip targets high-end security cameras and adds radar support.
PUFs Generate Native Keys to Secure SoCs
Physically unclonable functions (PUFs) create externally inaccessible keys from unique chip characteristics. They can increase security and reduce the cost of provisioning hardware roots of trust.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Webinar: Wi-Fi 6 and 5G Cellular Technologies
In this webinar, followed by a live Q&A session, TechInsights’ Subject Matter Expert for Mobile RF and IoT, Radu Trandafir, will examine industry trends impacting the potential scenarios for these two wireless technologies.
Disruptive Event- Qualcomm leading the Wi-Fi Wave with FastConnect 7800
TechInsights discovered Qualcomm’s first system-on-chip (SoC), the FastConnect 7800 WCN7851, ready for Wi-Fi 7 and compliant with Bluetooth (BT) 5.3, in the Xiaomi 13 Pro 5G smartphone. Qualcomm has introduced its latest SoC chip, the 7800, in the FastConnect series.
NAND spot prices led the decline last week
NAND spot prices led the decline last week Shereen Vaux Order activity for semiconductor equipment slipped a degree to a frigid 43°, with memory and subcons slipping further. March results are in for the Taiwanese companies and it’s clearly still
Finding meaning in Gordon Moore’s passing
Finding meaning in Gordon Moore’s passing G. Dan Hutcheson The Chip Insider® Finding meaning in Gordon Moore’s passing: By now, I’m sure you’ve read many obituaries about his life and accomplishments. The volume of articles that exploded over the
Arm Aims to Alter Business Ahead of IPO
To drum up revenue, Arm is considering whether to require OEMs to directly license the right to use Arm-based chips in their designs. However, Arm risks affecting its long-term business prospects by appearing capricious and greedy.
Nvidia Slips out Grace Details
Nvidia disclosed more details of its Grace processor, which can combine with its Hopper GPU or run by itself in servers. Now due in 2H23, the Arm-compatible chip is more efficient than Intel’s flagship Xeon.
AMD Extends Genoa Into Embedded
The Epyc 9004 embedded products, deriving from the Genoa server processor, offer 16 to 96 cores. AMD is generous with cache, DDR5 channels, and PCIe lanes, but it lacks application-specific features.
Graphcore Shows More WoW at ISSCC
Graphcore has revealed how it hybrid bonds a deep-trench-capacitor die and AI accelerator, describing manufacturing techniques and a voltage-swing reduction. A Shmoo plot shows how adding the capacitor die can cut power or boost the clock rate.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Disruptive Event - China breaks through restrictions with advanced chiplet strategy
TechInsights' latest groundbreaking findings on the Jasminer X4 unveil the exciting prospects of advanced packaging.
Webinar: The Samsung Galaxy S23 Ultra - Inside the Flagship Device
In this exclusive webinar, our experts will deliver an in-depth analysis of the components within this device, highlight what changed from previous versions, and forecast how this might impact other smartphone and parts manufacturers. Our market analysts will also share their forecasts and industry insights for Samsung and other industry leaders within the ever-competitive smartphone marketplace.
DRAM spot prices are continuing to fall
DRAM spot prices are continuing to fall Shereen Vaux Order activity for semiconductor equipment held at a cold 44° with memory slipping further into freezing temperatures. Geopolitical concerns are ramping up; Japan is the latest country to put
Disruptive Event - Nvidia Hopper H100
The Nvidia Hopper H100 has introduced innovative features and enhanced scalability, promising even greater improvements for training large networks.
NXP PN7642 Provides Single-Chip NFC
The new PN7642 is the first fully integrated NFC solution that includes programmability, NFC RF, and security. It implements closed-loop and other non-payment systems.
Marvell Switches On Teralynx 10
Marvell’s new Teralynx 10 (TX9180) switch IC delivers throughput of 51.2 Tbps, four times that of Teralynx 7. Separately, the company’s Nova optical DSP is the industry’s first Ethernet PHY to reach 1.6 Tbps.
Battery Longevity: What’s Polymeric Tape Got to Do with It?
TechInsights dives into the self-discharge characteristic of the ATL-BP45 batteries of the Xiaomi Mi 12 Pro to examine the effect of polymeric tape on the battery’s longevity.
Memory Inventories Continue to Mount
Memory Inventories Continue to Mount Shereen Vaux Order activity for semiconductor equipment slipped to a cold 44° with all segments slipping further. All eyes were on Micron today as it held its earnings call to announce its quarterly results
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Snapdragon X75 Modem Improves Uplinks
Part of a radio subsystem, Qualcomm’s Snapdragon X75 modem for 5G simplifies boards by interfacing with a better-integrated RF transceiver. It also increases performance through more AI horsepower, enhanced uplink technology, and denser QAM.
Agilex 5 Floods FPGA Midrange
Intel has refreshed its midrange FPGAs, challenging market newcomers. The new family updates the fabric, CPUs, and DSPs while expanding the Agilex line.
Think Silicon GPU Accelerates Vectors
Think Silicon is among the few companies developing low-cost, low-power GPU IP, offering cores to accelerate 2D, 2.5D, and 3D graphics. The new Nema Pico VG adds support for vector graphics, enabling slick GUIs.
Can Samsung S23 Ultra Revive the Smartphone World?
The smartphone market experienced record-low shipments in 2022. Many smartphone manufacturers are looking to revive the market in 2023 and beyond, and Samsung’s recently released S23 Ultra shows promise to help with this revival.
SPIE ALP. Inventory out of control.
SPIE ALP. Inventory out of control. G. Dan Hutcheson The Chip Insider® SPIE Advanced Lithography and Patterning 2023: This is the penultimate conference on advanced lithography. Like many things, it goes through cycles of emergent behavior
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics report
What can you learn from acoustic wave filter market leaders?
Radio design is characterized by tighter module integration with increased number of filters, switches, antenna tuners, and directional couplers.
Get an Exclusive Look at the Samsung S23 Ultra
Watch an exclusive sneak-peek video of our experts tearing down Samsung’s latest flagship smartphone release, the Samsung Galaxy S23 Ultra. Get an initial preview of the device’s technology components like the impressive 200-megapixel camera that gives users incredible photo quality rarely seen in the smartphone market.
TechInsights Uncovers Telsa’s Third Terminal
Curious about the latest breakthroughs in battery technology? Look no further than Tesla’s new 4680 battery cells. These innovative cells are designed to not only be larger and more energy dense, they also have a hidden feature: three terminals instead of the standard two.
NXP Offers Watermarks for AI Models
Trained machine-learning models can be valuable, and techniques have been developed to identify them in case of theft. NXP has introduced a tool that implements a straightforward approach to watermarking image-classification neural networks.
ST Tightens MCU Security
The STM32H5 family updates STMicroelectronics’ high-performance-MCU line with additional security. Installing the new Secure Manager software on the flagship model can yield a complete security suite with no required coding.
Marvell Adds Fusion Models to Octeon 10
Octeon 10 Fusion combines Arm Neoverse N2 CPUs, packet-processing hardware, DSPs, and in-line wireless accelerators. Targeting 5G infrastructure, it’s the only merchant-market integrated base-station processor for macrocells.
Accelerate your process with TechInsights' Logic Packaging Analytics
Are you finding the SoC and ASIC design challenging and time? It takes tremendous time and effort to build up knowledge of the industry, your competitors, your target market, and the product strategies of various SoCs. You may also need to understand critical competitive product benchmarks to achieve design efficiency and differentiation and to enhance your product's performance while optimizing for cost.
Rising macro uncertainties are impeding the prospects of 2H23 recovery
Rising macro uncertainties are impeding the prospects of 2H23 recovery Andrea Lati Order activity for semiconductor equipment remained steady at 45°. Both chipmakers and equipment suppliers are exercising caution regarding their near-term prospects
Disruptive Event - MediaTek’s Dimensity 1050 chipset AiP
Following up on MediaTek’s strong entry into the 5G mmWave market with their Dimensity 1050 chipset, TechInsights has discovered an innovative mmWave antenna array design in their MT6107O antenna-in-package (AiP) as used in the Moto Edge 2022, model XT2205-3 (Verizon edition), and not previously seen in AiP designs from Qualcomm, Murata, and Apple.
Grab your jackets! It's going to be a cold Spring! (March Update)
Grab your jackets! It's going to be a cold Spring! (March Update) Shereen Vaux Order activity for semiconductor equipment slipped to a chilly 45°. There were some notable changes made to our forecasts this month. The February data are in from the
Sustainability Panel at SPIE ALP
Sustainability Panel at SPIE ALP G. Dan Hutcheson The Chip Insider® Sustainability Panel at SPIE ALP: Why me? Which was my first question when they asked me to run this panel. As you know, until recently, I have considered sustainability to be a “boy
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics report
Webinar: Minimizing Battery Degradation in Smartphones
This webinar reviews the existing industry fast charging techniques used by Apple, Samsung, and Xiaomi in their recent flagship smartphones. It was observed that these smartphones benefit from adaptive charging algorithms to suppress battery degradation, which would generally result from the high applied current.
Chiplet Technology Advances
Packaging technology goes hand in hand with chiplets. Examples include basic PCB-based 2D structures, passive silicon interposers and bridges for 2.5D packages, 3D packages employing active interposers, and hybrid bonding.
Generative AI on a Phone?
Generative-AI models such as ChatGPT are typically large and run only in the cloud, but Qualcomm recently demonstrated a smaller image-generation model called Stable Diffusion performing inference on a smartphone.
Ceva XC20 Enables Vector-Unit Sharing
The new Ceva XC20 architecture implements simultaneous multithreading in a vector DSP. The first product to use it, the XC22 more than doubles area efficiency compared with Ceva’s previous DSP cores.
25th Anniversary Issue of The Chip Insider. Why China will fail.
25th Anniversary Issue of The Chip Insider. Why China will fail. G. Dan Hutcheson The Chip Insider® 25 Years Ago Today, I started a new e-mailing to subscribers to address the increasing need for instantaneous analysis of events in a time when market
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
It’s cold out there as we head into Spring
It’s cold out there as we head into Spring Shereen Vaux Order activity for semiconductor equipment slipped a degree to a brisk 45 degrees All segments remain at temperate temperatures, with the exception for Memory which remains at freezing levels
IoT Predictions for 2023
The Mobile channel at TechInsights recently published the annual IoT Predictions for 2023. TechInsights have identified 3 key technology trends and 2 key strategic trends which we expect will shape the IoT market in 2023 and beyond.
Perceive’s Ergo 2 Supports Transformers
Perceive has launched its second-generation AI SoC, Ergo 2, offering 3–4x higher performance than the previous generation. The new chip targets applications such as security, retail analytics, and visual inspection.
Dimensity 7200 Adopts Newest Arm CPUs
MediaTek’s new processor for mid-premium smartphones offers leading-edge 4 nm and Cortex-A715 technology. The company also introduced its first satellite-communications chip, allowing customers to match Apple.
AMD Expands 5G RFSoC Portfolio
Obtained through its Xilinx acquisition, AMD’s RFSoCs meld FPGA and processor functions with blocks for mobile infrastructure. The ZU64DR and ZU63DR join a line of RFSoCs that implement DFE functions.
Tesla to Use 75% less SiC. Should SiC Stakeholders be Worried?
Tesla's recent announcement that they will reduce the amount of silicon carbide (SiC) in future vehicles by 75% is a significant move for the company, as SiC has been a key material in their vehicles' power electronics systems.
Samsung Galaxy S23 Ultra Cameras
TechInsights has conducted an initial teardown analysis of the Samsung Galaxy S23 Ultra, with a focus on the phone's camera modules and optical sensors. This blog presents the preliminary findings of the teardown, revealing the specific components and manufacturers of the camera and sensor hardware found inside the phone.
YMTC is a Leading Pioneer in 3D NAND
Dr. Jeongdong Choe penned the following article in December 2022. Technology moves quickly – here we are in March 2023, and it has just been announced that YMTC has received a huge cash infusion of $7 billion USD. We have also just received the Micron 232L NAND SSD, and will be reporting our findings shortly.
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
Waiting for the tide to turn
Waiting for the tide to turn Shereen Vaux Order activity for semiconductor equipment held at a chilly 46 degrees Memory and SOC Mobile were the only subsegments to fall a degree Memory activity is stuck at freezing levels The positive is that the
Ponte Vecchio GPUs Top Expectations
Intel’s Ponte Vecchio data-center GPU is a packaging tour de force. Available in three models, it delivers leading FP64 vector performance.
Lattice Details First Avant FPGAs
Avant-E is the first series based on Lattice’s Avant platform, announced in 2021. It addresses the FPGA midrange with devices that have logic and security but no CPUs.
Sapphire Rapids Boosts Workstation Xeons
The new Xeon W-2400 and W-3400 processors integrate 6 to 56 CPUs and support DDR5 as well as PCIe Gen5, providing a needed upgrade to Intel’s workstation line.
OMNIVISION Introduces Premium 50MP Image Sensor for Flagship Smartphones
The rapid development in image sensor technology is marking a new phase in the evolution of smartphone cameras, leading to the introduction of new CIS products with strong imaging capabilities.
Sustainability, Export Controls, and TSMC.
Sustainability, Export Controls, and TSMC. G. Dan Hutcheson The Chip Insider® Sustainability: more than just a nice thing to do. As I have admitted before, I am not a big fan of the topic, because there is no product there. Given my Maxim, “Problems
It's cold and visibility hit a new low (February update)
It's cold and visibility hit a new low (February update) Shereen Vaux Order activity for semiconductor equipment slipped to a chilly 46 degrees All segments, with the exception of Other SOC compute and DAO, slipped a degree last week with memory
How SMIC joined the ranks of Intel, TSMC, and Samsung
Last summer, TechInsights’ experts discovered that Semiconductor Manufacturing International Corporation (SMIC) used 7nm technology to manufacture the MinerVa Bitcoin Miner system-on-chip (SoC).
Honor Magic4 Ultimate Smartphone Cameras
Does it Offer the Best Zoom Performance in the Industry? TechInsights take a look inside the camera hardware.
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
Alif MCUs Combine Arm Cortex and Ethos
Targeting IoT, wearables, consumer electronics, and robotics, Alif Semiconductor is building microcontrollers that consume less than 1 W and provide up to 250 GOPS using Arm Cortex CPUs and Ethos AI units.
M2 Pro, Max Boost GPU Performance 30%
Apple’s new M2 Pro and M2 Max offer more CPU, GPU, and AI performance than their predecessors, helping the company maintain its differentiation.
Editorial: Intel Struggles Continue
Two years into his tenure, CEO Pat Gelsinger continues to oversee major product delays. As profits fade, he must consider axing some of the company’s emerging businesses to meet expense targets.
Technology Export Controls, Fab utilization and chip inventory
Technology Export Controls, Fab utilization and chip inventory G. Dan Hutcheson The Chip Insider® Multilateral Technology Export Controls: Do they work? The historical throughline to today’s rising export controls – with the United States trying to
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Enterprise Mobility Predictions for 2023
As businesses look ahead to 2023 and beyond, what key and emerging technologies will shape the Enterprise Mobility development in 2023 and beyond?
Order activity remains chilly
Order activity remains chilly Shereen Vaux Order activity for semiconductor equipment slipped to 47 degrees All segments dipped a degree with the exception of DAO which has held at the same temperature since December 30th The January data is in from
Handsets to watch for in 2023 (for RF front end (RFFE) Innovation)
In consultation with our RF Subject Matter Expert, Radu Trandafir, and Technical Product Manager, Van Thompson, here’s a quick rundown of the top mobile phones to watch for in 2023 with potential significant RFFE innovation.
2023 State of the Power Semiconductor Market
The Power semiconductor market is in for a difficult year with the high demand for vehicle electrification and clean energy, leaving power semiconductors in a shortage. Read the blog for TechInsights’ predictions and discoveries on market consolidation, which is a growing trend in terms of wide bandgap semiconductor material supply.
FPGA Startup Rapid Silicon Enters Market
A new startup, Rapid Silicon, is entering the FPGA market. Its Gemini SoC FPGAs, with hardened CPUs, compete against aging midrange alternatives from AMD, Intel, and Microchip.
Analyst Predictions for 2023
MPR’s analysts have developed forecasts for various processor markets. The trend toward PC processors with AI accelerators is under way. Meanwhile, some AI-accelerator startups will topple as their funding runs out.
TechInsights Critical Subsystems Driving Forces Update on Platform
TechInsights Critical Subsystems Driving Forces Update on Platform Updated files include the following: Driving Forces Device Forecast Summary History and Forecast for PV Revenues, Unit Shipments, and ASPs Substrate Processing Equipment Forecasts
Cycle Mapping and Upturn Timing
Cycle Mapping and Upturn Timing G. Dan Hutcheson The Chip Insider® Cycle Mapping 2022-2023: 6-months into the slowdown, quarterly and December’s results – in particular – have revealed how this slowdown resembles previous cycles. Some believe it must
SemiWEEK: Caution prevails as dark clouds linger; Semiconductor sales fell 9% last week; Stocks fell
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
Caution prevails as dark clouds linger
Caution prevails as dark clouds linger Shereen Vaux Order activity for semiconductor equipment dropped to a chilly 48 SOC Mobile and Digital Consumers dropped a degree in cool conditions Memory activity is stuck at freezing levels Many Semiconductor
A Trip Down TSMC Memory Lane – Part 3
At the end of Part 2 of the blog we had reached 2009 and the 40-nm generation; which put TSMC out of sync with other companies, who were marketing their 45-nm product. That discrepancy continued with the usual 70% shrink to the next node, 28-nm for TSMC and 32-nm for IDMs such as Intel and IBM.
AMD’s MI300 Targets HPC and AI
AMD’s MI300 accelerator will compete with Nvidia's Grace Hopper in the HPC and AI markets. It uses AMD's third-generation CDNA3 architecture and features x86, GPU, and memory die in a single package for improved performance.
Qualcomm Integrates Cockpit, ADAS
Qualcomm’s Ride Flex SoC combines ADAS and cockpit applications, integrating workloads that have no safety criticality with those requiring ASIL B and ASIL D safety.
TSMC Reveals 3nm Process Details
TSMC presented papers at IEDM detailing its 3nm N3 and N3E processes. N3 reduces CPP by 6nm compared with N5. SRAM cells are no smaller in N3E than in N5.
Memory Technology Trends and Challenges
Recently, TechInsights hosted a Memory webinar where Dr. Jeongdong Choe, Senior Technical Fellow at TechInsights, discussed the latest memory technology trends and challenges for DRAM and NAND devices.
TechInsights Critical Sub-systems Graphics file on Platform
TechInsights Critical Sub-systems Graphics file on Platform Updated CSUBS Graphics file is now available: Driving Forces Misc. Reports CSUBS Graphics 230203 CSUBS, Equipment, Macroeconomic quarterly CSUBS, Equipment sales forecast annual Supply Chain
SemiWEEK: Caution dominates decision-making; Chip War and Upturn Timing; Stocks continue to grow
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Mobile RF – 2022 Webinar Recap
TechInsights hosted 3 webinars focused on Mobile RF technology and trends to watch for in 2022. With commentary, insights, and predictions from some of the top minds in the Mobile RF landscape, the TechInsights’ subject matter experts discussed who will be the dominant RF players, the different approaches taken to address the increased complexity brought by new standards, and the new antenna modules used by Apple in the iPhone 12 and 13.
Hybrid Bonding Technology - 2023 and beyond
Recently, TechInsights hosted a webinar where several experts from our Logic, Image Sensor, Memory, and Engineering teams reviewed applications of hybrid bonding technology and discussed what’s to come in 2023 and beyond.
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
Caution dominates decision-making
Caution dominates decision-making Shereen Vaux Order activity for semiconductor equipment held at a brisk 49 degrees The decline continues to moderate Memory slipped another degree in freezing levels while Other SOC compute fell two degrees Texas
Imagination DXT GPU Adds ALUs, TPUs
Imagination Technologies’ DXT GPU increases raw performance by 50% over the prior CXT generation. Although ray tracing remained in the headlines, changes to other circuits are responsible for this higher throughput.
AMD Phoenix Delivers AI to Notebook PCs
AMD is addressing notebook PCs from multiple directions, fielding a mobile Ryzen that integrates an AI accelerator and a high-performance Ryzen that has up to 16 CPUs and large caches.
Analysts’ Choice Winners for 2022
MPR recognizes the past year’s top products in the categories of data center, PC, embedded, smartphone, processor IP, and emerging technology. And the winners are...
Join TechInsights’ Leading Market Analysts at the 2023 Mobile World Congress
Leading market and technology analysts from TechInsights will be attending the Mobile World Congress (MWC) in Barcelona, Spain Feb. 27 – Mar. 2, 2023. During the event, our analysts will conduct business meetings, moderate conference sessions, attend briefings and provide media interviews.
Power of the Chip Series
This short-form video series will cover topical events and include insightful commentary from our TechInsight’s experts (and surprise guests) on how powerful this tiny object is.
Chip War and Upturn Timing
Chip War and Upturn Timing G. Dan Hutcheson The Chip Insider® Happenings, Comments, Questions & Answers: Japan and the Netherlands may join the U.S. in semiconductor equipment restrictions to China … an openly visible move to side with the U.S. would
SemiWEEK: The semiconductor industry is covered in a blanket of snow; SEMI ISS; Stocks rose
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Lithography: Now and Then
Advanced lithography techniques, which are required to print ultrafine features on to chips, are primary enablers of modern technology advancement. There are many different lithographic techniques, with EUV considered the modern-day frontrunner leading the way to the world’s most advanced chips.
Throwback to 2021 CIS Technology Trends
CMOS image sensors (CIS) have continued to evolve in response to performance requirements of current applications of Smartphone Imaging, Security Surveillance, Biometrics, Automotive and Depth Sensing and Ranging.
iPhone 14 Pro's New Ambient Light Sensor – Inside the Package
Apple’s annual big reveal in September 2022 promised an iPhone 14 with “a re-engineered proximity sensor”, detecting light from behind the display to save additional space. This is the type of announcement the CIS subscription likes to hear about, and indeed our initial teardown analysis showed that Apple decided to change their approach to the proximity sensor.
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
Xiaomi 120 watt, 19 minute HyperCharging: An adaptive charging protocol using the SurgeP1 charging IC
The innovation seen within smartphones, including increasingly faster charging speed, has been exciting to watch over the past year. Key players like Xiaomi, Realme, Samsung, and Black Shark are leading the pack in this space with Xiaomi and Realme as the top competitors, surpassing 100 W.
The Continuously Evolving Landscape of Memory Technology
The memory technology landscape is continuously evolving. DRAM and NAND Flash memory demands have been steadily increasing and emerging memory markets such as STT-MRAM, ReRAM, PCRAM, FeRAM, and 3D XPoint memory are expected to reach $36 billion by 2030. As emerging memory makers race ahead of device scaling, they will face several challenges.
The semiconductor industry is covered in a blanket of snow
The semiconductor industry is covered in a blanket of snow Shereen Vaux Order activity for semiconductor equipment decreased to a brisk 49 degrees The decline continues to moderate Memory remains at freezing levels while Subcon/Advanced packaging and
Andes Adds 1,024-Bit Vectors
Andes has a new high-end CPU that handles the longest vectors yet for RISC-V as well as a new small entry-level CPU for small connected systems.
Editorial: It’s On Demand and Nobody Asked for It
The Sapphire Rapids Xeon Scalable processor integrates multiple features that Intel disables in certain models. The company’s On Demand program allows customers to enable them postpurchase.
Encharge Recharges In-memory Compute
Startup Encharge AI has exited stealth mode, announcing its in-memory-compute technology. Its chips use analog technology and provide 20x more performance per watt than competitors.
Who made our top picks in IoT Connectivity for 2022?
"It was a busy year in the IoT connectivity landscape in 2022. TechInsights’ team of subject-matter experts and analysts worked hard at identifying the key events, technologies, and design practices to include in our IoT SoC subscription."
SEMI ISS
SEMI ISS G. Dan Hutcheson The Chip Insider® SEMI ISS kicked off to a record crowd. This has always been the best conference to find out how the coming year will play out, as its attendees are all senior executives. They come with a read from the
SemiWEEK: Visibility is low as the storm intensifies; Semiconductor sales grew as the industry headed to the Lunar New Year; Stocks were mixed
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Visibility is low as the storm intensifies
Visibility is low as the storm intensifies Shereen Vaux Order activity for semiconductor equipment held at a chilly 50 degrees The decline has moderated over the last few weeks with most segments remaining unchanged The December data from the
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
TechInsights Manufacturing Analysis Q1 Upload Schedule
TechInsights Manufacturing Analysis Q1 Upload Schedule TechInsights Manufacturing Analysis will be updating the following databases: ForecastPro: TCI Updates (monthly) – Week of Jan 16 / Feb 20 / Mar 13 Reticles Forecast – Week of Jan 23 Designs
TechInsights Critical Subsystems Pedestals/Heaters Summary on the Platform
TechInsights Critical Subsystems Pedestals/ Heaters Summary on the Platform Updates included are the following: Driving Forces Misc. Reports Pedestal Heaters 20230117 We recently completed a focused report on Pedestals/Heaters components (includes
Espressif Announces Processor with Video
Espressif, maker of wireless-enabled processors, has announced a new CPU that targets man-machine applications.
SemiWEEK: The Chip Insider’s Strategic Forecast; Commodity Ceramics Components; Driving Forces Device Forecast; Stocks soared
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Comparison: Latest 3D NAND Products from YMTC, Samsung, SK hynix and Micron
TechInsights ran a comparison of the YMTC 232-L against 128- and 176- layer solutions from Samsung, SK hynix, and Micron, looking at factors like die size, bit density, active layers, word line pitch, and more.
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
TechInsights Critical Subsystems Commodity Ceramics Summary on the Platform
TechInsights Critical Subsystems Commodity Ceramics Summary on the Platform Updates included are the following: Driving Forces Misc. Reports Commodity Ceramics 230110 We recently completed a focused report on commodity ceramics (includes Alumina
Roads are icy as fog clouds visibility
Roads are icy as fog clouds visibility Shereen Vaux Order activity for semiconductor equipment held at a chilly 50 degrees. All segments remained the same from last week, with the exception of Memory which continued to lose ground Memory continues at
Sapphire Rapids Scales to 60 CPUs, 8 Sockets
Intel’s new Xeon delivers upgrades in core count and accelerators. It also updates the company’s server platform with PCIe Gen5, DDR5, and CXL.
Year in Review: Established IP Vendors Jump on RISC-V
Over the past year, a few CPU-IP vendors have adopted RISC-V, challenging both Arm and RISC-V startups. Meanwhile, AI-accelerator vendors are jockeying to stand out in a crowded field.
Year in Review: Data-Center Chips Buck a Downturn
The year 2022 saw AI accelerators and DPU becoming mainstream in data center servers. Chiplets came to more CPUs while the chip power consumption reached a new high, necessitating a rethink in cooling.
The Chip Insider’s Strategic Forecast
The Chip Insider’s Strategic Forecast G. Dan Hutcheson The Chip Insider® The Chip Insider’s Strategic Forecast for 2023: Here’s what I believe the important strategic issues will be this year in the supply chain. These are the areas where you need to
SemiWEEK: 2023 is starting off with a blizzard; Semiconductor sales fell in a normal holiday dive; Stocks grew
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
TechInsights Critical Subsystems Driving Forces Update on Platform
TechInsights Critical Subsystems Driving Forces Update on Platform Updates included are the following: Driving Forces Device Forecast Summary Forecast for Electronics Revenues Forecast for Semiconductor Revenues, Unit Shipments, and ASPs An updated
A Trip Down TSMC Memory Lane – Part 2
Logic Blog A Trip Down TSMC Memory Lane – Part 2 Dick James To start Part 2 of the blog, we backtrack to 1998, when the 0.25-µm process was launched. One of the comments from Shang-Yi Chiang’s (former VP of R&D at TSMC) oral history was that when he
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
NXP’s i.MX9 Gets 10G Ethernet
NXP’s i.MX95 family targets industrial and automotive systems as well as consumer ones. With several CPUs and three computing domains, it exceeds what’s available today, but that gap may narrow by the time it ships.
2023 is starting off with a blizzard
2023 is starting off with a blizzard Shereen Vaux Order activity for semiconductor equipment continued to decline, falling to a chilly 50 degrees The decline moderated last week with only memory and DAO retracting It should not come as a surprise
Intel Fills Out 13th Generation
Intel revealed more of its 13th Core generation, codenamed Raptor Lake. Four mobile families launched along with more desktop models. The company also updated its N-series processors.
The Race for the Smallest Pixel Size
The race for smaller pixel sizes in CMOS image sensors (CIS) has reached new heights.Recent PMIC Innovation and an Automotive Market Outlook: On-Demand Video
Webinar - On Demand Recent PMIC Innovation and an Automotive Market Outlook Enter the password sent to your email below to view the webinar. To ensure email delivery, add TInews@techinsights.com to your address book, contacts, or safe sender list.
Mobile RF Year in Review - Who Made Our Top Picks for 2022?
Mobile RF Blog Mobile RF Year in Review - Who Made Our Top Picks for 2022? 2022 has been a busy year in the mobile RF landscape. TechInsights’ team of subject- matter experts and analysts have been hard at work identifying the key events
Ventana Veyron Scales to 192 Cores
Startup Ventana has revealed Veyron, its RISC-V compute chiplet for server processors. Performance of a Veyron-based processor could rival that of server processors from AMD and Intel.
Auto Chips Drive New Architectures
This past year saw the introduction of new automotive chips that will power 2024-model-year vehicles. Driving the move towards domain and zonal architectures, they realize ideas that have been conceptual up to now.
China’s AI Tiger Roars
China has established several conditions to enable growth of AI-chip companies. Many Chinese AI unicorns and other companies are serving the data-center and edge markets.
Google Pixel Watch
Discover the Google Pixel Watch's cutting-edge technology with our in-depth forecast and teardown analysis. Explore its design, components, and performance in this comprehensive TechInsights eBook.
Happy Holidays to all and to all a good cycle
Happy Holidays to all and to all a good cycle G. Dan Hutcheson The Chip Insider® IBM and Rapidus Partner to compete in the next World Cup of Semiconductors: 2nm foundry technology. The timing of this announcement was perfectly fitting for the
SemiWEEK: Questions & Answers: The Case for a Mild Slowing. How TSMC took the lead in EUV; Stocks tumbled
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
The CHIPS Act Has Already Sparked $200 Billion in Private Investments for U.S. Semiconductor Production
By Robert Casanova, Director, Industry Statistics and Economic Policy
The outlook is gloomy as we head into 2023
The outlook is gloomy as we head into 2023 Shereen Vaux Order activity for semiconductor equipment continued to decline, falling to 52 degrees The November data from the Taiwanese manufacturers was mixed TSMC continues to increase sales to record
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
Disruptive Event - AMD Instinct MI210 GPU Accelerator
Silicon Bridge Disruptor: SPIL enters the race with a new offering found in AMD MI210
Webinar – Recent PMIC Innovation and an Automotive Market Outlook
Join TechInsights’ Power Subject Matter Expert, Dr. Stephen Russell and Strategy Analytics’ Executive Director of the Powertrain, Body, Safety & Chassis (PBCS) and Electric Vehicles Service (EVS), Asif Anwar, as they present the Recent PMIC Innovation and an Automotive Market Outlook Power webinar.
Disruptive Event - Stratio BeyonSense SWIR Camera
The BeyonSense Short Wave Infra-Red (SWIR) Sensor is part of a new generation of image sensors that capture light beyond the visible spectrum enabling imaging and sensing devices to see beyond the visible spectrum and into the internal structure of an object.
SEMI ISS Europe 2023 to Spotlight European Chips Act with Focus on Growth, Talent, Sustainability and Supply Chain
SEMI ISS Europe 2023 to Spotlight European Chips Act with Focus on Growth, Talent, Sustainability and Supply Chain VIENNA, Austria — December 13, 2022 — Leading analysts, economists, policymakers and technologists will gather at the SEMI Industry
2022 Semiconductor Materials Market Concludes as Another Solid Year Amid Rising Economic Challenges
2022 Semiconductor Materials Market Concludes as Another Solid Year Amid Rising Economic Challenges San Diego, CA, December 13, 2022: TECHCET—the electronic materials advisory firm providing business and technology information— announced that the
SEMI Taiwan Launches Rating Service to Strengthen Cybersecurity Across Taiwan Chip Ecosystem
SEMI Taiwan Launches Rating Service to Strengthen Cybersecurity Across Taiwan Chip Ecosystem HSINCHU, Taiwan – December 13, 2022 – Taking aim at hardening the Taiwan semiconductor ecosystem’s defenses against cyberattacks, SEMI has launched a
SEMICON Japan 2022 Opens Tomorrow to Showcase Cutting-Edge Technologies Powering Semiconductor Industry Growth
Opening keynote panel to feature Rapidus representatives discussing strategies for strengthening Japan’s semiconductor industry.
Global Total Semiconductor Equipment Sales Forecast to Reach Record High in 2022, SEMI Reports
Global Total Semiconductor Equipment Sales Forecast to Reach Record High in 2022, SEMI Reports TOKYO — December 13, 2022 — Global sales of total semiconductor manufacturing equipment by original equipment manufacturers are forecast to reach a new
Global Chip Industry Projected to Invest More Than $500 Billion in New Factories by 2024, SEMI Reports
Global Chip Industry Projected to Invest More Than $500 Billion in New Factories by 2024, SEMI Reports MILPITAS, Calif. — December 12, 2022 — The worldwide semiconductor industry is projected to invest more than $500 billion in 84 volume chipmaking
Pre-Registration Opens for Chiplet Summit
Pre-Registration Opens for Chiplet Summit SAN DIEGO, CA – December 9, 2022 — Chiplets Shorten Chip Design Cycles. Chiplet Summit opens pre-registration today for its first annual event. It will occur on January 24-26 at the Doubletree by Hilton San
Hopper Leads MLPerf Training Scores
The newest MLPerf training results include scores for Nvidia’s Hopper H100. Depending on the subtest, the H100 is 7–160% faster than its predecessor. No other AI processor is as fast.
MediaTek Boosts Budget Chromebooks
MediaTek’s new Kompanio 520 and 528 serve entry-level Chromebooks. With two higher-tier series targeting mid- and top-tier Chromebooks, the company has been free to optimize the new chips for cost and power.
Pentonic 1000 Upgrades 4K TVs
MediaTek’s newest smart-TV processor targets high-end 4K screens that display up to 120 frames per second (4K120). It features AI-enabled upscaling and can display eight video streams at once.
Questions & Answers: Case for a Mild Slowing and How TSMC took the lead in EUV
Questions & Answers: Case for a Mild Slowing and How TSMC took the lead in EUV G. Dan Hutcheson The Chip Insider® Questions & Answers: The Case for a Mild Slowing in 2023 (2 Dec 2022): Read Dan's article but am confused. Is Dan just offering up a
SemiWEEK: The Case for a Mild Slowing in 2023. Semiconductor Cyclicality; Stocks fell
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Foundry & IDM Capital Expenditures 4Q2022
Foundry & IDM Capital Expenditures 4Q2022 Shereen Vaux 2023 is going to be a down year for semiconductor spending. Chipmakers have caught up to demand and the inventory buildup turns from a tailwind in 1H22 into a headwind in 2H22 and continues into
CEA-Leti Presents Path Towards Full Fault-Tolerant Quantum Computing with Si-Based VLSI Technologies
Related Paper Covers Efficient Characterization Flow of Industrial Grade Si-Based Qubits, Invited Paper Reports Breakthrough on Path Toward Cryogenic Compact Model.
eBook: Xiaomi Mi 12 Pro and the SurgeP1 Charging IC
This eBook takes an in depth look at Xiaomi's Mi 12 Pro's HyperCharging Protocol implemented by the Xiaomi SurgeP1 IC, which enabled the achievement of a full charge time of only 19 minutes for their Xiaomi Mi 12 smartphone.
Fractilia Dares Chipmakers to Take the Fractilia Challenge
“Fractilia Challenge” program invites chipmakers to send SEM images to Fractilia for analysis with its FAME stochastics control product at no cost with unsurpassed tool-to-tool matching results
3D-Micromac and Schott join forces to improve yield and cost of production of augmented reality waveguides
Joint development partnership to focus on dedicated laser cutting process and mass production system for SCHOTT RealView® high refractive index glass
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
Disruptive Event - Apple’s H2 BT 5.3 Audio SoC
Apple’s H2 BT 5.3 audio SoC – elevating the audio experience in the AirPods Pro 2
Joseph Byrne Becomes Editor-in-Chief
Joseph Byrne is now editor-in-chief of Microprocessor Report, replacing Linley Gwennap. Linley will continue as editor emeritus, serving on the editorial board and contributing articles.
Prestera DX1500 Unifies IT/OT Networks
Marvell’s Prestera DX1500 Ethernet switch targets converged IT/OT industrial networks. TSN, MACSec, and redundant-network protocols promote safety and reliability.
It's cold and gloomy
It's cold and gloomy Shereen Vaux Order activity for semiconductor equipment continued to decline, falling to 56 degrees The decline accelerated last week with all segments losing 2 or more degrees Major chipmakers are cutting utilization
Editorial: Arm’s No-Win Legal Fight
Arm and Qualcomm are locked in an ugly public spat over the rights to Nuvia’s CPU. Unresolved, this conflict could hamper Arm’s progress in the PC market and foment interest in RISC-V.Fan-Out Packaging Technologies - The Past and Future: On-Demand Video
Webinar - On Demand Fan-Out Packaging Technologies - The Past and Future Enter the password sent to your email below to view the webinar. To ensure email delivery, add TInews@techinsights.com to your address book, contacts, or safe sender list.
Global Semiconductor Sales Decrease 0.3% Month-to-Month in October
Worldwide chip sales down 4.6% year-to-year
The Case for a Mild Slowing in 2023. Semiconductor Cyclicality.
The Case for a Mild Slowing in 2023. Semiconductor Cyclicality. G. Dan Hutcheson The Chip Insider® The Case for a Mild Slowing in 2023: It is always a red flag whenever there is a wide range of forecasts from forecasters… Current semiconductor
SIA News Roundup
SIA News Roundup SIA NEWS New Report Identifies Challenges to Continued U.S. Leadership in Semiconductor Design, Innovation Press Release Following the historic enactment of the CHIPS and Science Act to reinvigorate domestic semiconductor
SEMI Commends European Council’s Progress on Chips Act, Urges Swift Start of Trialogue Negotiations
SEMI Commends European Council’s Progress on Chips Act, Urges Swift Start of Trialogue Negotiations BRUSSELS, Belgium — December 1, 2022 — SEMI, the industry association serving the global electronics design and manufacturing supply chain, today
SemiWEEK: The New Normal for lnventory. Fab-lite companies becoming Fabbed; Stocks grew
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Disruptive Event - Broadcom BCM59365 Wireless Charging PMIC
New Broadcom BCM59365, featuring the most advanced wireless charging PMIC observed to date.
Menlo Micro Delivers World’s Highest Power MEMS Switch
With kilowatt power handling, MM9200 is the industry’s highest power micromechanical switch poised to revolutionize smart power and energy distribution
TechInsights Acquires IC Knowledge LLC
TechInsights Acquires IC Knowledge LLC in a Further Expansion of Its Platform of Semiconductor Market Analysis Content
Webinar: Fan-Out Packaging Technologies - The Past and Future
TSMC, Apple, and Samsung have all leveraged different Fan-Out Packaging. Join us to get an update on the latest progress of Wafer Level and Panel Level Fan-Out Packaging.
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
Storm clouds are starting to roll in
Storm clouds are starting to roll in Shereen Vaux Order activity for semiconductor equipment extended its decline, slipping to 59 degrees The decline is moderating but all segments are still trending lower Overall activity hasn’t been this low since
Disruptive Event - YMTC 232L TLC 3D NAND
Download this disruptive event brief to get detailed die images of Xtacking 3.0, further information about our planned analysis, and a comparison table showing YMTC’s three most recent solutions, 128L CDT1B (August 2021), 128L CDT2A (November 2022), and 232L EET1A.
Snapdragon 8 Gen 2 Adds Ray Tracing
Accompanying the launch of the new flagship Snapdragon are game titles employing its marquee new graphics feature, ray tracing. SoC-architecture updates promise better-looking pictures.
ZeroPoint Aims to Raise DRAM Bandwidth
ZeroPoint’s Ziptilion-BW IP can raise an application’s performance, lower its power, and increase the apparent memory size. But doing so effectively depends on data patterns.
AMD Genoa Takes Epyc to 96 Cores
AMD’s fourth-generation Epyc takes server processors to a new level, delivering 50% gains in core count, memory bandwidth, and I/O speed relative to the previous generation
The fog is clouding visibility
The fog is clouding visibility Shereen Vaux Order activity for semiconductor equipment continued to cool off slipping, to 60 degrees The decline in the overall activity is starting to level off but remains below the Growth Decline Line All segments
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
PMICs with Integrated Passive Components
Power Blog PMICs with Integrated Passive Components Contributed by: Stephen Russell Since the launch of TechInsights’ power management integrated circuit (PMIC) Process Analysis channel at the end of 2021, we have analyzed a wide variety of devices
Industry Strategy Symposium 2023 to Highlight ‘Reaching $1T the Right Way: A Sustainable Path’
Industry Strategy Symposium 2023 to Highlight ‘Reaching $1T the Right Way: A Sustainable Path’ MILPITAS, Calif. – November 14, 2022 – SEMI announced today that Industry Strategy Symposium (ISS) 2023 will be held January 8-11 at the Ritz-Carlton in
SEMICON Europa 2022 Opens Tomorrow with Focus on Accelerating Semiconductor Industry Innovation
SEMICON Europa 2022 Opens Tomorrow with Focus on Accelerating Semiconductor Industry Innovation MUNICH, Germany ─ November 14, 2022 ─ Industry experts from across the electronics design and manufacturing supply chain will gather starting tomorrow at
Plasma-Therm Strengthens Presence in Europe to Collaborate with Customers on New Microelectronics Development
Plasma-Therm Strengthens Presence in Europe to Collaborate with Customers on New Microelectronics Development Grenoble-based manufacturing and cleanroom demo facility offers customers product and applications development, regional field service and
SEMI Award Honors Soitec for Diversity, Equity and Inclusion Leadership in European Chip Industry
SEMI Award Honors Soitec for Diversity, Equity and Inclusion Leadership in European Chip Industry MUNICH, Germany ─ November 16, 2022 ─ SEMI Europe and the SEMI European Advisory Council for Diversity and Inclusion yesterday announced Soitec as
VoltSafe Inc. Collaborates with Menlo Micro to Develop the Most Advanced Smart Electrical Outlet and Connector
VoltSafe Inc. Collaborates with Menlo Micro to Develop the Most Advanced Smart Electrical Outlet and Connector VANCOUVER, BC & IRVINE, CA – (November 15, 2022) – VoltSafe Inc. today announced a strategic partnership with Menlo Microsystems, Inc.
Silicon Labs CEO Matt Johnson Elected Chair of Semiconductor Industry Association
Texas Instruments CEO Rich Templeton elected SIA Vice Chair
SEMI North America Advisory Board Welcomes New Members from Brewer Science, KLA and Nordson Corporation
SEMI North America Advisory Board Welcomes New Members from Brewer Science, KLA and Nordson Corporation MILPITAS, Calif. — November 21, 2022 — SEMI today announced the election of three new members to the SEMI North America Advisory Board (NAAB): Dr
3D-Micromac CEO to Present at TechBlick MiniLED and MicroLED Displays Conference
3D-Micromac gaining momentum in microLED market with new laser processing system orders and industry engagements
The New Normal for Inventory. Fab-lite companies becoming Fabbed.
The New Normal for Inventory. Fab-lite companies becoming Fabbed. G. Dan Hutcheson The Chip Insider® The New Normal for Inventory: One of TechInsights’ most important indicators is the Inventory-to-Billings ratio, or the IB ratio. Currently there is
TechInsights ForecastPro Advanced Packaging Upload
TechInsights ForecastPro Advanced Packaging Upload Updates included are the following: ForecastPro: Packaging Demand Forecast – v22.11 Advanced Packaging Demand Forecast – v22.11 The highest-growing packaging segments were LGA and QFN followed by CSP
Blue Cheetah Connects Chiplets
Proprietary technology helps startup Blue Cheetah rapidly create custom die-to-die interconnects, including analog circuits, to attach chiplets. Focusing on the BoW standard, the company supports two fab processes.
Chiplets Ease Pain for Large Designs
Chiplet use is increasing, primarily for large in-house computing projects, but small chip designs don’t benefit. A broad third-party chiplet market remains years away.
TPUv4 Adds Large On-Chip Memory
The TPUv4 is now generally available through Google Cloud, although the company has used it internally for a year. The ASIC doubles the number of matrix units relative to the TPUv3.
Apple Watch Series 8 Teardown
In the ever-evolving world of wearable technology, Apple has consistently pushed the boundaries of innovation. Their latest offering, the Apple Watch Series 8 A2771, is no exception. Packed with cutting-edge features and impressive hardware, this watch promises to redefine what we can expect from a smartwatch.
SemiWEEK: Silicon and Silicon Carbide Components; Ceramic Electrostatic Chucks; It’s cold and foggy; Stocks slipped
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
TechInsights Critical Subsystems Ceramic Electrostatic Chucks on the Platform
TechInsights Critical Subsystems Ceramic Electrostatic Chucks on the Platform Summary data from Ceramic E-Chuck Report is now available on the TechInsights Platform: Driving Forces Misc. Reports Ceramic E-Chucks 221116 We recently completed a focused
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
It’s cold and foggy…
It’s cold and foggy… Shereen Vaux Order activity for semiconductor equipment extended its decline, slipping one point to 62 degrees Subcons/Advanced packaging was the only segment to increase last week which could be signaling a turn around in
TechInsights Critical Subsystems Silicon and Silicon Carbide Components report on the Platform
TechInsights Critical Subsystems Silicon and Silicon Carbide Components report on the Platform Summary data for Silicon and Silicon Carbide Components Report is now available on the TechInsights Platform: Driving Forces Misc. Reports Silicon and
A Trip Down TSMC Memory Lane – Part 1
Logic Blog A Trip Down TSMC Memory Lane – Part 1 Dick James A few months ago we published a blog on MOS process history, triggered by Pat Gelsinger’s keynote at the Intel Innovation Days in November last year, and while at the start it was generic
Webinar: Hybrid bonding technology - today and tomorrow
TechInsights experts review applications of hybrid bonding technology, and discuss what’s to come. This presentation compiles content from TechInsights’ subject matter experts in Memory, Image Sensor, and Logic, and from Engineers specializing in a variety of reverse engineering techniques.
N3E Will Be TSMC’s Main 3nm Node
TSMC’s enhanced 3nm process, N3E, employs fewer double-pattered EUV layers and allows more flexibility in the number of transistor fins than its predecessor, N3.
Eliyan Doubles UCIe Bandwidth
A serdes transceiver from Eliyan allows 32Gbps bidirectional chiplet signaling. It can reduce system cost in some systems by eliminating interposers or reduce power by halving speeds with no net bandwidth change.
Dimensity 9200 Continues Premium Push
MediaTek’s new top-of-the-line smartphone processor upgrades to the latest cores and protocols, offering ray tracing and other new capabilities for premium-phone buyers.
SMIC Reports 2022 Third Quarter Results
All currency figures stated in this report are in US Dollars unless stated otherwise.
ASML provides updated view on demand outlook, capacity plans and business model at Investor Day meeting
New share buyback program announced
SemiWEEK: The fog has rolled in; CSUBS Driving Forces Forecast Update; Stocks jumped
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
TechInsights Critical Subsystems Driving Forces Update on Platform
TechInsights Critical Subsystems Driving Forces Update on Platform Updates included are the following: Driving Forces Device Forecast Summary History and Forecast for Electronics Revenues (not updated this month) History and Forecast for
YMTC’s Xtacking 3.0 – Not what TechInsights was expecting to see
Memory Blog YMTC’s Xtacking 3.0 – Not what TechInsights was expecting to see Contributing author: Chi Lim Tan Yangtze Memory Technology Company (YMTC) has been making headlines since it was founded in 2016. Considered China’s leading Integrated
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
Apple iPhone 14: Teardown and Analysis Webinar
This is the must attend webinar event of the year: Our exclusive Apple Keynote presented in partnership with Bloomberg Intelligence. The panel will include Bloomberg Senior Hardware Analyst Woo Jin Ho, Strategy Analytics’ Associate Director at the Global Wireless Practice Boris Metodiev and Techinsights’ Forecasting Analyst Taylor St. Germain.
Disruptive Event - MediaTek Dimensity 1050 Chipset
MediaTek’s Dimensity 1050 chipset is making 5G mmWave support in smartphones more affordable. Until now, only top tier US devices were equipped with 5G mmWave capabilities.
ASE, EMD Electronics and KLA Corporation Representatives Appointed to SEMI Foundation Board of Trustees
ASE, EMD Electronics and KLA Corporation Representatives Appointed to SEMI Foundation Board of Trustees MILPITAS, Calif. — November 8, 2022 — The SEMI Foundation has appointed Patricia MacLeod, Director of Marketing and Communications at Advanced
SIA Webinar American Semiconductor Research: Leadership Through Innovation
SIA Webinar American Semiconductor Research: Leadership Through Innovation REGISTER HERE Join us Thursday, Nov. 10 at 2 pm ET for the complimentary SIA Webinar - American Semiconductor Research: Leadership Through Innovation. Following the landmark
Semiconductor Climate Consortium Representatives to Present During 2022 United Nations Climate Change Conference (COP27)
Semiconductor Climate Consortium Representatives to Present During 2022 United Nations Climate Change Conference (COP27) SHARM EL-SHEIKH, EGYPT. — November 7, 2022 — SEMI, the industry association serving the global electronics manufacturing and
The fog has rolled in..
The fog has rolled in.. Shereen Vaux Order activity for semiconductor equipment extended its decline, slipping to 63 degrees All segments trended lower with Memory getting hit the hardest, falling to a new low for the year Memory fundamentals
SEMICON Europa 2022 Keynotes to Highlight Chip Industry Growth Opportunities and Advanced Technologies
SEMICON Europa 2022 Keynotes to Highlight Chip Industry Growth Opportunities and Advanced Technologies MUNICH, Germany ─ November 7, 2022 ─ SEMICON Europa 2022, the region’s premier event for the semiconductor manufacturing and design supply chain
EV Group Advances Leadership in Optical Lithography with Next-Generation EVG150 Resist Processing Platform
EV Group Advances Leadership in Optical Lithography with Next-Generation EVG150 Resist Processing Platform Redesigned 200-mm platform increases module capacity for higher throughput, improves architecture for reduced tool footprint all while
SEMI Global Smart Manufacturing Conference Opens Tomorrow to Spotlight Industry 4.0 Best Practices
SEMI Global Smart Manufacturing Conference Opens Tomorrow to Spotlight Industry 4.0 Best Practices MILPITAS, Calif. — November 7, 2022 — Industry 4.0 experts and visionaries will present at the SEMI Global Smart Manufacturing Conference (GSMC)Linley Fall Processor Conference 2022 Proceedings - Thank You
TechInsights is pleased to announce that the Linley Fall Processor Conference powered by TechInsights - a Hybrid Event, will be held in Santa Clara, California on November 1-2, 2022. If you cannot attend in person, tune in to our virtual livestream or watch the presentations OnDemand at your convenience.Linley Fall Processor Conference 2022 Proceedings
TechInsights is pleased to announce that the Linley Fall Processor Conference powered by TechInsights - a Hybrid Event, will be held in Santa Clara, California on November 1-2, 2022. If you cannot attend in person, tune in to our virtual livestream or watch the presentations OnDemand at your convenience.
SiFive P470 Adds Vectors to Midrange
SiFive’s P400 series CPUs deliver midrange performance in a little-core footprint. The three-way out-of-order design includes an optional vector unit.
Quadric Merges AI, Application Code
Quadric’s Chimera DLA IP executes both neural-network code and application code. Ranging from 1 to 16 TOPS, it blends the functions of a CPU, a DSP, and a DLA.
Andes AX65 CPU Targets Data Center
Andes is taking its RISC-V lineup to the next level with the AX60 family, which uses a quad-issue out-of-order microarchitecture to double CPU performance relative to the earlier AX40 family.
SemiWEEK: Foundry Strategy evolving for a chiplet era; Stocks were mixed
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
SEMI Announces Semiconductor Climate Consortium Founding Members
SEMI Announces Semiconductor Climate Consortium Founding Members Consortium aims to accelerate reduction of greenhouse gas emissions across semiconductor value chain. Consortium members and SEMI to participate at 2022 United Nations Climate Change
Professors from UC-Santa Barbara and UC-San Diego to be Honored for Excellence in Semiconductor Research
Professors from UC-Santa Barbara and UC-San Diego to be Honored for Excellence in Semiconductor Research WASHINGTON — Nov. 1, 2022 — The Semiconductor Industry Association (SIA) and the Semiconductor Research Corporation (SRC) today announced the
eBook: Lithography: Gatekeeper to Technological Independence and Advancement
This ebook examines the various lithography techniques currently in use in consumer electronics, they key players in lithography R&D and development through their patents, and China’s response to EUV restrictions.
The fog is starting to roll in
The fog is starting to roll in Shereen Vaux Order activity for semiconductor equipment extended its decline, slipping to 66 degrees Dipping below the Growth-Decline line for the first time since May 2020 All segments trended lower with Memory getting
Linley Fall Processor Conference 2022
TechInsights is pleased to announce that the Linley Fall Processor Conference powered by TechInsights - a Hybrid Event, will be held in Santa Clara, California on November 1-2, 2022. If you cannot attend in person, tune in to our virtual livestream or watch the presentations OnDemand at your convenience.
AI and ADAS Venture Funding Slows
An analysis of startups reveals an overall slowdown in AI- and ADAS-chip funding. But startup funding is growing in China even as it slows elsewhere.
Aim Future’s AI IP Targets the Edge
Aim Future’s AI accelerator IP targets performance ranging from 32 GOPS to 16 TOPS. It optionally offers the ability to perform incremental learning at the edge.
Nvidia’s Thor Hammers Atlan
Nvidia has revised its automotive roadmap, replacing the product code-named Atlan with the new Thor processor, which targets an impressive 2,000 trillion operations per second (TOPS).
SIA News Roundup
SIA News Roundup SIA NEWS New Report Identifies Target Areas for CHIPS R&D Investments Press Release The report, titled “ American Semiconductor Research: Leadership Through Innovation,” highlights the importance of government-industry collaboration
Foundry Strategy
Foundry Strategy G. Dan Hutcheson The Chip Insider® Foundry Strategy evolving for a chiplet era: There’s been plenty of foundry coming out efforts in recent months that offer new pictures of what they are up to and how their differentiation
SemiWEEK: 2023 Forecast, When Forecasting fails; Stocks rose
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
New Report Identifies Target Areas for CHIPS R&D Investments
New Report Identifies Target Areas for CHIPS R&D Investments SIA-BCG study calls for new federal funds to be directed at five key areas of semiconductor R&D WASHINGTON, Oct. 27, 2022 — Following landmark enactment in August 2022 of the CHIPS and
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
SIA Member Update
SIA Member Update Member Update Following landmark enactment in August 2022 of the CHIPS and Science Act to reinvigorate domestic semiconductor manufacturing and research, SIA and the Boston Consulting Group (BCG) will release a report tomorrow
Worldwide Silicon Wafer Shipments Set a New Record in Q3 2022, SEMI Reports
Worldwide Silicon Wafer Shipments Set a New Record in Q3 2022, SEMI Reports MILPITAS, Calif. — October 25, 2022 — Worldwide silicon wafer shipments reached a new record of 3,741 million square inches (MSI) in the third quarter of 2022, increasing 1.0
Webinar - Mobile Radio Frequency: A Patent and Technology Perspective
This webinar describes how the RF market has evolved and how, more than ever, advanced investigations and prosecutions can be accomplished using technical analysis. Register for this webinar to get the latest perspective on the Mobile RF patent and technology landscape.
PentaG-RAN IP Targets Base Stations
Ceva’s PentaG-RAN is a baseband IP block for cellular infrastructure. Packing multiple fixed-function units and two DSP types, it targets SoCs for RUs, DUs, small cells, and beam-forming chips.
Zen 3 Goes Embedded
AMD’s new Ryzen Embedded V3000 family addresses networking and storage with the Zen 3 microarchitecture. It features up to eight CPUs but omits GPUs.
Neoverse V2 Pressures x86 Servers
Arm’s next Neoverse CPU, code-named Demeter, will appear in Nvidia’s Grace processor in 2023, matching the single-thread performance of mainstream Xeon products for the first time.
SIA News Roundup
SIA News Roundup CHINA Tech war: Chinese local governments ramp up chip industry support as US piles on export restrictions South China Morning Post China’s local governments are doubling down on cash incentives and policy support for home-grown
2023 Forecast, When Forecasting fails
2023 Forecast, When Forecasting fails G. Dan Hutcheson The Chip Insider® 2023 Forecast Update: There were major changes to the 2023 projections in this week’s TCI Graphics file. IC sales are expected to be down 6% and Semi Equipment off 14%, with WFE
SemiWEEK: Bearish conditions abound; Semiconductor sales fell 7% last week; Stocks jumped
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
TechInsights acquires The McClean Report from IC Insights
TechInsights, the authoritative information platform to the semiconductor and microelectronics market, is pleased to announce that it has acquired The McClean Report from IC Insights, Inc.
ASML intends to appoint Wayne Allan to Board of Management
ASML intends to appoint Wayne Allan to Board of Management VELDHOVEN, the Netherlands, October 19, 2022 — ASML Holding N.V. (ASML) today announces that its Supervisory Board intends to appoint Wayne Allan as a member of the Board of Management in the
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
Chiplet Summit Helps Advance Chiplet Technology
Chiplet Summit Helps Advance Chiplet Technology SAN DIEGO, CA – October 18, 2022 – Chiplets Need an Event Covering All Aspects of Development Chiplet Summit is a major addition to the chiplet ecosystem. Debuting at the Doubletree by Hilton San Jose
Bearish conditions abound
Bearish conditions abound Andrea Lati Order activity for semiconductor equipment extended its decline, slipping to 76 degrees While the overall order activity remains in positive territory, it’s gradually approaching the Growth-Decline line Memory
Global 200mm Semiconductor Fab Capacity Projected to Surge 20% to Record High by 2025, SEMI Reports
Global 200mm Semiconductor Fab Capacity Projected to Surge 20% to Record High by 2025, SEMI Reports MILPITAS, Calif. — October 18, 2022 — Semiconductor manufacturers worldwide are estimated to increase 200mm fab capacity 20% from 2021 through 2025
Energy Taiwan 2022 Opens Tomorrow to Spotlight Green Energy Innovations and Region’s Drive Toward Net-Zero Emissions
Energy Taiwan 2022 Opens Tomorrow to Spotlight Green Energy Innovations and Region’s Drive Toward Net-Zero Emissions TAIPEI, Taiwan — October 18, 2022 — Energy Taiwan, Taiwan's largest green energy exhibition, opens tomorrow at the Nangang Exhibition
SIA News Roundup
SIA News Roundup CHINA Beijing rebukes Biden's export controls Politico China’s Minister of Foreign Affairs had harsh words to describe the Biden administration’s new export controls on semiconductor technology: "Out of the need to maintain its sci
Electronic System Design Industry Hits Record Revenue of Nearly $3.8 Billion in Q2 2022, ESD Alliance Reports
Electronic System Design Industry Hits Record Revenue of Nearly $3.8 Billion in Q2 2022, ESD Alliance Reports MILPITAS, Calif. — October 17, 2022 — Electronic System Design (ESD) industry revenue increased 17.5% from $3,191.4 million in Q2 2021 to $3
Marvell Ups LiquidSecurity ECC Speed
Seven years after releasing LiquidSecurity, Marvell has unveiled a second-generation PCIe card that increases RSA throughput by 20% and ECC throughput tenfold.
STMicroelectronics Enters the Zone
STMicroelectronics’ new SR6P7x and SR6P6x automotive SoCs aggregate low-level ECUs into domains or zones. With a novel choice of nonvolatile memory for code, the company has a strong focus on deterministic real-time performance.
Nvidia Ada Doubles GPU Performance
Nvidia’s newest gaming GPU, code-named Ada Lovelace, builds on the Ampere design with faster clock speeds, far more cores, a massive cache memory, and faster ray tracing.Thank you for registering
Thank you for registering for the webinar. You will receive an email with a link confirming your seat to the webinar shortly. If you are having troubles with your registration link, please contact us. Leading the world in microelectronics reverse
Discussion on the Apple Watch Metal Can Battery
We have decided to take a deeper look into the Metal can approach with our analysis of the Apple watch series 7 (41 mm) to characterize the metal can battery with the intention of answering why the metal can is used instead of the soft pouch.
SemiWEEK: Still on Track to Hit $1 Trillion by 2030? Why the Natural Semiconductor Growth Rate will Rise. Maxims for a Downturn; Stocks sunk
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Apple’s iPhone 14 Launch Drives Opportunities for the Smartphone Image Sensor Market
The latest iPhone 14 and 14 Pro series from Apple continues to shine with its impressive set of cameras that use image sensors from Sony Semiconductor. This is driving important trends and outcomes in the smartphone image sensor market.
Atom Probe Tomography of Silicon Carbide JFETs
Power Blog Atom Probe Tomography of Silicon Carbide JFETs Stephen Russell Co-authored by: Ramya Cuduvally (affiliation: CCEM and Department of Materials Science and Engineering, McMaster University) Brian Langelier (affiliation: CCEM and DepartmentThank you for registering
Thank you for registering for the webinar. You will receive an email with a link confirming your seat to the webinar shortly. If you are having troubles with your registration link, please contact us. Leading the world in microelectronics reverse
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
SIA Member Update
SIA Member Update Member Update The U.S. government on Oct. 7 announced a set of new export control rules restricting China’s access to certain high-performance computing and advanced semiconductor manufacturing items. A first rule released by the
MITRE Engenuity Chief Technologist Raj Jammy Among First Members Appointed to Industrial Advisory Committee for Chips for America
U.S. Department of Commerce Creates Advisory Committee to Guide R&D Efforts for CHIPS for America
SEMICON Japan 2022 to Spotlight Innovations Driving Semiconductor Industry Growth
SEMICON Japan 2022 to Spotlight Innovations Driving Semiconductor Industry Growth TOKYO – October 11, 2022 – With Japan accounting for more than 30% of semiconductor manufacturing equipment and materials sales worldwide, the stage is set for SEMICON
Global 300mm Semiconductor Fab Capacity Projected To Reach New High in 2025, SEMI Reports
Global 300mm Semiconductor Fab Capacity Projected To Reach New High in 2025, SEMI Reports MILPITAS, Calif. — October 11, 2022 — Semiconductor manufacturers worldwide are forecast to expand 300mm fab capacity at a nearly 10% compound average growth
It's cooling off and the leaves are turning yellow
It's cooling off and the leaves are turning yellow Andrea Lati Order activity for semiconductor equipment continued to cool off, slipping by nearly two points in the first week of October All segments ended the week lower as chipmakers grow more
Mark Templeton Inducted into Phil Kaufman Hall of Fame by the ESD Alliance and IEEE CEDA
Mark Templeton Inducted into Phil Kaufman Hall of Fame by the ESD Alliance and IEEE CEDA Templeton Recognized Posthumously for Technical and Business Innovation MILPITAS, CALIF. –– October 3, 2022 –– Mark Templeton, the former managing director of
SIA Applauds Appointment of Industry Leaders to Advise Commerce Department on CHIPS R&D Implementation
SIA Applauds Appointment of Industry Leaders to Advise Commerce Department on CHIPS R&D Implementation Industrial Advisory Committee is comprised of 24 industry reps from across the semiconductor ecosystem WASHINGTON—Sept. 29, 2022—The Semiconductor
Qualcomm Snapdragon 8(+) Gen1 SoC
For the first time in recent memory, Qualcomm has dual-sourced their Snapdragon 8(+) Gen1 SoC with both Samsung (4LPX) and TSMC (N4). This has allowed us at TechInsights to compare a common design manufactured by two different foundries.
Apple iPhone 14 Plus Teardown
Explore our comprehensive teardown of the Apple iPhone 14 Plus. Discover detailed insights on components, design, and performance with expert analysis from TechInsights.
AMD FPGA Targets 400Gbps Smart NICs
Having closed its Xilinx acquisition, AMD is launching a new FPGA with much more CPU power than previous members of its Versal family, targeting it at 400Gbps smart NICs.
Intel Debuts Discrete Desktop GPUs
Intel has expanded its discrete graphics card offering into the low end of the desktop market. It also has two new models for the data center.
Sapeon Adds AI to Edge Servers
After spinning off from SK Telecom, Sapeon has become the first Korean company to deliver an AI chip. The X220 provides an efficient accelerator for both video analysis and language processing.
SIA News Roundup
SIA News Roundup SIA NEWS SIA Statement on New Export Controls Press Release “We are assessing the impact of the new export controls on the U.S. semiconductor industry and working with our member companies and the U.S. government to ensure compliance
SIA Statement on New Export Controls
SIA Statement on New Export Controls WASHINGTON—Oct. 7, 2022— The Semiconductor Industry Association (SIA) today released the following statement in response to the new export controls announced today by the U.S. Commerce Department. SIA representsThank you for registering
Thank you for signing up for our Power of the Chip video series. We’ll notify you as soon as the next video is live. To ensure email delivery, add TInews@techinsights.com to your address book, contacts, or safe sender list.
SemiWEEK: Re-Globalizing the Semiconductor Industry. Maxims for a Downturn; Stocks increase
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Webinar: Who will dominate in RF? Smartphone RF Front Ends at a crossroad: Qualcomm, OpenRF, Skyworks, etc.
In this 30 minute webinar followed by a live Q&A session, TechInsights / Strategy Analytics’ Christopher Taylor, Lead Analyst and Radu Trandafir, Lead Technology Analyst, examined key decisions faced by OEMs when choosing components to help you understand how market-leading approaches stack up.Mobile Radio Frequency: A Patent and Technology Perspective - Thank you
Thank you for registering for the webinar. You will receive an email with a link confirming your seat to the webinar shortly. If you are having troubles with your registration link, please contact us. Leading the world in microelectronics reverseAsk your question to Dr. Russell
Thank you for your interest. If you have a question you didn’t get to ask during the webinar Q&A, submit it below and Dr. Russell will answer Leading the world in microelectronics reverse engineering, and building the content platform for the
Your webinar questions answered: Recent GaN Innovation
Answers to the numerous questions asked in the recent gallium nitride (GaN) focused webinar ‘Recent GaN Innovation: Moving from Consumer to Data Center and Automotive’.
AMD Zen 4 Adds AVX-512
Zen 4 increases IPC and runs much faster than Zen 3 owing to optimized circuits, a process shrink, bigger buffers, deeper queues, and a larger micro-op cache.
New SiFive CPUs Hit the Road
SiFive has entered the automotive market, offering small embedded and application cores for ASIL B and ASIL D systems.
Raptor Lake Adds Little Cores
Despite a short design cycle, Intel boosted the performance of its 13th Generation Core processor by up to 15% over the previous generation through higher clock speeds and more “efficiency” cores.
Re-Globalizing the Semiconductor Industry. Maxims for a Downturn.
Re-Globalizing the Semiconductor Industry. Maxims for a Downturn. G. Dan Hutcheson The Chip Insider® Re-Globalizing the Semiconductor Industry: Governments are not pursuing DE-Globalization. They are trying to RE-Globalize the semiconductor industry
SemiWEEK: TSMC’s Extraordinary Growth: What’s the problem; Stocks plummeted
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Unlock Faster Charging
Find an analysis of the existing fast charging methods and their associated problems, and an investigation of the fast-charging techniques of the iPhone 13 Pro Max and Samsung S22 Ultra.
SRC-SIA Webinar on Collaboration Towards Decadal Plan Goals: Emerging Semiconductor Technologies
SRC-SIA Webinar on Collaboration Towards Decadal Plan Goals: Emerging Semiconductor Technologies REGISTER HERE Dear G. Dan, Join us Thursday, Sept. 29 at 12:30 pm EDT for the complimentary SIA/SRC Webinar - Collaboration Towards Decadal Plan Goals
ROHM Gen 4 Discussion
Watch the 2-part video discussion that TechInsights’ own Dr. Stephen Russell and PGC Consultancy’s Professor Peter Gammon created to take a closer look at how groundbreaking improvements of ROHM’s 4th Gen SiC MOSFET, fits into the broader SiC market.
TechInsights Critical Sub-systems Graphics file on Platform
TechInsights Critical Sub-systems Graphics file on Platform Updated CSUBS Graphics file is now available: Driving Forces Misc. Reports CSUBS Graphics 220927 CSUBS, Equipment, Macroeconomic quarterly CSUBS, Equipment sales forecast annual Supply Chain
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Caution rises as clouds darken the road
Caution rises as clouds darken the road Andrea Lati Order activity for semiconductor equipment was flat last week with the overall temperature hovering at a warm 80 degrees Activity remains bifurcated with Memory and SOC Consumer slipping into
SEMICON Europa 2022 to Highlight Smart Mobility, Smart MedTech, Advanced Packaging, Fab Management, Materials, Sustainability and Talent
SEMICON Europa 2022 to Highlight Smart Mobility, Smart MedTech, Advanced Packaging, Fab Management, Materials, Sustainability and Talent MUNICH, Germany ─ September 27, 2022 ─ Innovations in advanced technologies such as Smart Mobility, Smart MedTech
Global Fab Equipment Spending Forecast to Reach All-Time High of Nearly $100 Billion in 2022, SEMI Reports
Global Fab Equipment Spending Forecast to Reach All-Time High of Nearly $100 Billion in 2022, SEMI Reports MILPITAS, Calif. — September 27, 2022 — Global fab equipment spending for front-end facilities is expected to increase approximately 9% year
Worldwide OEM electronics sales are expected to fall nearly 2% this year
Worldwide OEM electronics sales are expected to fall nearly 2% this year Updates included are the following: Electronics & Macroeconomy Forecast – V22.10 Diffusion Rates and Historical Ratios and Utilization – V22.10 Worldwide OEM electronics sales
SEMI and AVCC Team to Promote Autonomous Vehicle Innovation and Mass Market Adoption
SEMI and AVCC Team to Promote Autonomous Vehicle Innovation and Mass Market Adoption SAN JOSE and MILPITAS, Calif. — September 27, 2022 — The Autonomous Vehicle Computing Consortium (AVCC), a global group of automotive and technology industry leaders
eBeam Initiative Survey Reports EUV Fueling Photomask Industry Growth
Results of 11th annual Luminaries survey to be presented at live event held during SPIE Photomask Technology + EUV Lithography Conference
TechInsights Critical Subsystems Inventory on the Platform
TechInsights Critical Subsystems Inventory on the Platform Critical Sub-systems Inventory Focus Report is now available on the TechInsights Platform: Driving Forces Misc. Reports Inventory 220926 We recently completed a report on inventories of
Innovusion Falcon LiDAR
TechInsights has procured the Innovusion Falcon - an industry-leading automotive-grade LiDAR that has been chosen as the standard configuration for the NIO ET7 autonomous driving system. Given the sizeable opportunities for LiDAR manufacturers , many players in the market are looking to secure deals with large auto groups and auto makers.
Ryzen 7000 Upgrades to Zen 4 and 5nm
AMD’s Ryzen 7000-series processors for high-end desktops employ the new Zen 4 CPU to achieve greater performance and power efficiency.
Snapdragon 6 Boosts Graphics and AI
Qualcomm updated its Snapdragon 6 and 4 lines, moving to a new process, improving performance, and selectively adding features. The new nomenclature aligns with the 7- and 8-series.
Hopper, Startups Debut MLPerf Scores
The MLPerf 2.1 inference release includes preliminary results that put Nvidia’s Hopper H100 in the performance lead. Asian startups Biren and Sapeon also made impressive debuts.
AutoSens Brussels 2022
TechInsights recently attended AutoSens Brussels 2022, held September 12-15th at Autoworld in central Brussels. This blog provides some highlights of recent CMOS Image Sensors developments and trends from the event.
TSMC’s Extraordinary Growth: What’s the problem?
Govt Tech Controls & Chip Slowdown G. Dan Hutcheson The Chip Insider® TSMC’s Extraordinary Growth: What’s the problem? TSMC had another extraordinary month in August: Year-over-Year sales growth of 47%. This was up from 41% in July. But down from 56%
SemiWEEK: Quartz component revenues on track to reach $1.4B in 2022; Stocks plummeted
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
TechInsights Critical Subsystems Quartz Summary on the Platform
TechInsights Critical Subsystems Quartz Summary on the Platform Summary data from Quartz Focus Report is now available on the TechInsights Platform: Driving Forces Misc. Reports Quartz 220921 We recently completed a focused report on quartz
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Apple iPhone 14 Teardown
The Apple iPhone 14 launch marks the first time Apple launched an iPhone product series with two different processors. The iPhone 14 base models have last year’s Apple A15 Bionic processor, and the more expensive 14 Pro models have the newest Apple 16 Bionic processor. TechInsights has several ongoing Teardowns on the new iPhone 14 series models.
Cerebras Dives Into WSE Architecture
The startup disclosed new details about how its tiny cores deliver tremendous performance and how its sparsity support boosts performance when training large AI models.
CXL 3.0 Opens New Use Cases
The newly unveiled CXL 3.0 introduces memory sharing, direct device peer-to-peer memory access without involving a host, and multilevel switching. A new global fabric-attached memory can be shared by 4,096 hosts.
Updated Trident 4 Monitors Connections
Broadcom has added a network-scanning engine to its 12.8Tbps Trident 4 Ethernet switch. Capable of fingerprinting every packet, the engine improves network security.
Caution is on the rise
Caution is on the rise Andrea Lati Order activity for semiconductor equipment continued to cool off slipping, to 80 degrees The decline in the overall activity has moderated in the last few weeks, but it remains bifurcated Memory activity hit another
Apple iPhone 14 Image Sensor - Apple iPhone 14 Pro and Pro Max Cameras
Apple introduced an upgraded main rear cam on the baseline model iPhone 14, a revised front camera module on the iPhone 14 Pro/Max and of course a long-anticipated rear camera resolution upgrade that finally came to the iPhone 14 Pro/Max.
TechInsights Critical Subsystems Elastomer Seals Summary on the Platform
TechInsights Critical Subsystems Elastomer Seals Summary on the Platform Summary data from Elastomer Seals Focus Report is now available on the TechInsights Platform: Driving Forces Misc. Reports Elastomer Seals 220915 We recently completed a focused
SemiWEEK: Government Tech Controls. Chip Slowdown; Stocks sunk
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Sony IMX662 Image Sensor
Security and surveillance camera equipment is frequently required to perform in challenging lighting conditions. Based on multiple customers demands for performance upgrades to their STARVIS system, Sony have developed the IMX662, the latest from the STARVIS 2 family of image sensors.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Samsung HKMG DDR5 DRAM
Samsung’s HKMG DDR5 is the most advanced DRAM product available. Based on the successes of this device design, HKMG is likely set to become the new standard in DRAM manufacturing. Learn more about this technology innovation here.
Inventories are turning red, casting a pall over the industry
Inventories are turning red, casting a pall over the industry Andrea Lati Order activity for semiconductor equipment extended its decline, slipping one point to 81 degrees in the second week of September Memory led the decline as order activity
SEMICON Taiwan 2022 Opens Tomorrow with Spotlight on Advanced Manufacturing, Heterogeneous Integration, Sustainability and Talent
SEMICON Taiwan 2022 Opens Tomorrow with Spotlight on Advanced Manufacturing, Heterogeneous Integration, Sustainability and Talent TAIPEI — September 13, 2022 - SEMICON Taiwan 2022 opens tomorrow as industry leaders and visionaries gather to discussThank you
Thank you for registering for the webinar. You will receive an email with a link confirming your seat to the webinar shortly. If you are having troubles with your registration link, please contact us. Leading the world in microelectronics reverseThank you
Thank you for registering for the webinar. You will receive an email with a link confirming your seat to the webinar shortly. If you are having troubles with your registration link, please contact us. Leading the world in microelectronics reverse
MediaTek T830 Accelerates 5G Broadband
To capitalize on growing adoption of 5G cellular for broadband access, MediaTek has boosted the throughput of its fixed-wireless-access chipset.
Lightmatter Connects Chiplets Optically
Lightmatter’s Passage substrate is an active photonic interposer for interconnecting chiplets. All the photonic components and supporting electrical circuits reside in a single multi-reticle piece of silicon.
Nvidia Grace Supports AI Acceleration
To tackle the largest AI models, Nvidia has designed a processor to feed its powerful new Hopper GPU. Grace has twice the memory bandwidth of any x86 processor and can hold GPT-3 in DRAM.
Govt Tech Controls & Chip Slowdown
Govt Tech Controls & Chip Slowdown G. Dan Hutcheson The Chip Insider® The Greater Geopolitical Messaging of U.S Government Technology Controls: A few weeks ago the U.S. Government put Export Controls on EDA and Wide Bandgap Substrates. Then they
SemiWEEK: Gelsinger’s Systems Foundry Business Model; Stocks jumped
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Apple M1 Ultra Advanced Packaging
Packaging has become more sophisticated and a differentiator in the overall performance of advanced SoC chipsets. It can significantly impact form factor, helping to miniaturize designs in the multichip package and improve chip-chip RC delay. The Ultra is the first example of a device utilizing InFO-L technology that TechInsights has documented.
TechInsights' Semiconductor Analytics Report: DRAM Market in steep decline
Semiconductor sales dipped 6% below 2021 levels last week, as the IC weather cooled 1˚F to Chilly conditions. Zooming in on DRAMs this week, the 13-wk growth MA dropped to -13% Y/Y, falling off last year’s peak of +54% in October.
Exploring Beyond Datasheets: Assessing and Evaluating the Performance of SiC FETs
In this eBook, TechInsights brings you our first analyses of two innovative SiC FETs whose electrical characteristics are assessed with results presented under identical condition. Using a comprehensive array of test conditions and add extra valuable information, we delve into their robustness under stress and go well beyond the datasheet.
Podcast: The State of the Semiconductor Supply Chain
This on-demand podcast brings together Bloomberg Senior Hardware Analyst Woo Jin Ho and TechInsights’ Forecasting Analyst, Taylor St. Germain for an in-depth discussion about the state of the semiconductor supply chain.
Samsung ISOCELL HP1 found in the Motorola Moto X30 Pro
TechInsights has just received the Motorola Moto X30 Pro (XT2241-1) smartphone and launched an analysis on its wide-angle rear camera, confirming the Samsung HP1.
Temperatures are cooling amid rising uncertainty
Temperatures are cooling amid rising uncertainty Andrea Lati Order activity for semiconductor equipment slipped to 82 degrees The weakness in Memory and Subcon/Adv. Packaging continued to weigh on the overall activity The memory market is now in the
Biren GPGPU Aims for the Clouds
In the data-center accelerator race, the three-year-old startup has burst from the gate with a chiplet-based design that aims to compete with Nvidia for general-purpose-GPU (GPGPU) cloud computing.
Grai Matter Pivots to Floating Point
The startup has made progress on its Grai VIP deep-learning accelerator, changing native processing from INT8 to FP16 and adding audio workloads to its target applications.
Intel PC Processors Move to Chiplets
Meteor Lake will introduce a multidie arrangement using Intel’s silicon-substrate and advanced packaging technologies. This chiplet approach will appear across all PC price tiers beginning late next year.
Gelsinger’s Systems Foundry Business Model
Gelsinger’s Systems Foundry Business Model G. Dan Hutcheson The Chip Insider® Gelsinger’s Systems Foundry Business Model: The Foundry/IDM model is dead. Long live the Foundry model. In the beginning, there was the IDM model. The world didn’t call it
SemiWEEK: Workforce Development Needs and New Export Controls; Stocks plummeted
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Defending Against Infringement Claims with Patent Invalidation
It doesn’t matter how long your company has been in business or how many patents it holds. Everyone is susceptible of being targeted with a patent infringement claim. How are companies supposed to protect themselves against litigation? The answer is to invalidate the asserting patent.
All eyes are focused on 2023
All eyes are focused on 2023 Andrea Lati Order activity for semiconductor equipment continued to cool off, dropping by half a point to 83 degrees SOC Mobile, SOC Compute, and DAO are keeping the overall order activity in positive territory More than
ST BlueNRG Tracks People and Assets
ST’s newest BlueNRG microcontroller targets location services and reduces cost.
Editorial: MCUs Moving to RISC-V
Renesas has introduced the first embedded processor with a user-programmable RISC-V CPU. Chinese processors are already adopting RISC-V; more will follow in a direct challenge to Arm.
Untether Boqueria Targets AI Lead
The startup’s unique at-memory architecture targets an eye-popping 30 teraflop/s per watt and 12,000 teraflops in a single card. The second-generation chip is due to sample in 1H23.
SMIC 7nm is truly 7nm technology, how it compares to TSMC 7nm
Comparison confirms that SMIC reaches 7nm without access to western equipment & technologies. Our analysis also uncovered many similarities between the SMIC 7nm and the TSMC 7nm, which are available in our comparison brief.
Workforce Development Needs and New Export Controls.
Workforce Development Needs and New Export Controls. G. Dan Hutcheson The Chip Insider® Happenings, Comments, Questions & Answers: Chips and Chiplet Strategy - “Fast forward to tomorrow when those fabs are built. How are we going to fill them with
SemiWEEK: Chiplet Strategy. Chips Act: how long. The Transistor’s 75th Anniversary. Becoming Great; Foundry & IDM Capital Expenditures; Stocks plunged
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Murata/Samsung 2nd Gen mmWave AiP discovered in the Samsung Galaxy A53
Murata/Samsung 2nd Gen mmWave AiP discovered in the Samsung Galaxy A53 John Sullivan The Google Pixel 6 Pro 5G mobile handset was released in October 2021. The front end module analysis (MAR-2111-801) revealed a Murata packaged mmWave Antenna in
Semiconductor forecast and Capital Spending for 2022 and 2023 lowered as market headwinds intensify
Semiconductor forecast and Capital Spending for 2022 and 2023 lowered as market headwinds intensify Shereen Vaux Updates included are the following: Semiconductor Forecast- v22.09 CapEx Forecast- v22.09 The semiconductor market had a great first half
FitBit Ionic Recall
Unlock the secrets to optimizing smartwatch battery design with our FREE eBook. Dive into battery degradation, Fitbit Ionic's design, and overheating root causes.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Xiaomi Poco C40 Teardown
We have identified hundreds of manufacturers throughout the years and are always looking to expand our BoM Database library. So when we heard the Xiaomi Poco C40 would have a new processor from JLQ Technology, the Poco C40 jumped to the top of our list for a Quick Turn Teardown.
It's still very warm but there are bears around
It's still very warm but there are bears around Andrea Lati Order activity for semiconductor equipment continued to trend lower, slipping to 83 degrees Activity in SOC Mobile, SOC Compute, and DAO is holding up well as equipment suppliers catch up
Cognifiber Targets Purely Photonic AI
The Israeli startup has built a proof-of-concept system for a simple optical neural network, but it will require additional effort to handle commercial AI models.
Tomahawk 5 Switches At 51.2Tbps
Broadcom is the first vendor to sample a 51Tbps data-center switch chip. The 5nm device features 100Gbps serdes and port speeds of up to 800G Ethernet.
Functional Safety Moves To Chips
Functional safety affects an increasing number of chip and IP designs. Vendors are precertifying chips, IP, and tools to make it easier for their customers to achieve certification.
Chiplet Strategy. Chips Act: how long. The Transistor’s 75th Anniversary. Becoming Great.
Chiplet Strategy. Chips Act: how long. The Transistor’s 75th Anniversary. Becoming Great. G. Dan Hutcheson The Chip Insider® Chips and Chiplet Strategy: It is a strategic error to believe a chiplet strategy without big silicon will be competitive
SemiWEEK: Surprising Near-Term versus Long-Term Decadal Trends; Edwards – Number 1 WFE Subsystems Supplier; Stocks fell
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Graphene or not? Investigating the Panasonic NCR 21700 powering the Tesla Model 3 and Chargeasap Power bank
Graphene or not? Investigating the Panasonic NCR 21700 powering the Tesla Model 3 and Chargeasap Power bank Dr. Ali Khazaeli Panasonic is one of the top five Li-ion battery manufacturers worldwide [1]. In partnership with Tesla, they built the
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
The Medtronic PillCam SB3: packaging a capsule endoscope
The Medtronic PillCam SB3: packaging a capsule endoscope John Scott-Thomas Endoscopy is a medical procedure that examines the interior of a body using a camera. Common for digestive track imaging, a conventional endoscope uses a tube that is inserted
TI AM625 Updates Industrial SoCs
TI has updated its low-cost SoC family with 64-bit CPUs, lower power, and improved security and functional-safety support, enabling it to target automotive as well as industrial applications.
Intel Finally Gives Up on Optane
Intel and Micron have developed a revolutionary new memory technology called 3D XPoint, but under the Optane brand it never met expectations as either an SSD or DIMM replacement.
Google’s Tensor DLA Lags In Area, Speed
Among flagship-smartphone processors, Google’s first custom design has the largest AI engine but the worst AI performance. In contrast, the Dimensity 9000’s AI engine is the most efficient.
SemiWEEK: Chips and Science Act; ASML – Only Five Star WFE Leader; Stocks increased
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Surprising Near-Term versus Long-Term Decadal Trends
Surprising Near-Term versus Long-Term Decadal Trends G. Dan Hutcheson The Chip Insider® Near-Term versus Long-Term Decadal Trends: As everyone knows, the near-term picture has been darkening for some time. DRAM and Foundry markets started to shift
Review and Things to Know: Flash Memory Summit 2022
The Flash Memory Summit 2022 conference including an Expo was held at Santa Clara Convention Center (US) last week (Aug. 1 – 4). Many of the industry-leading memory manufacturers and module suppliers exhibited their latest products in flash storage technology. TechInsights is a recurring and popular speaker at FMS.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Intel Shines Light On Copackaged Optics
Intel’s eight-laser silicon-photonics research chip could be a breakthrough for copackaged optics. It places the laser array on a silicon chip that can eventually be integrated in the package.
Qualcomm Leaps Forward With W5+
Qualcomm has updated its smartwatch platform with new chip designs. Reduced power enables a visible watch face even when idle; reduced chipset area enables smartwatches that operate globally.
Apple Loses GPU-Performance Lead
Our die-photo analysis reveals that Samsung devoted too little area to its AMD-powered GPU, contributing to its performance shortfall. MediaTek and Intel both outperform the latest Apple chip.
Still green but clouds loom
Still green but clouds loom Andrea Lati Order activity for semiconductor equipment extended its decline, slipping to 84 degrees All segments trended lower led by Subcon/Adv. Packaging and SOC Computing where temperatures slid more than 4 points The
First commercially available Wi-Fi 7 (802.11 be) wireless router: H3C Magic BE18000
First commercially available Wi-Fi 7 (802.11 be) wireless router: H3C Magic BE18000 Radu Trandafir Less than 2 months after the announcement of Qualcomm’s Wi-Fi 7 (IEEE 802.11 be) networking chipset, known since 2019 as Networking Pro Platform, we
Chips and Science Act
Chips and Science Act G. Dan Hutcheson The Chip Insider® What’s Happening and What’s the Meaning: Chips and Science Act Passed: I must admit, I had been saying I didn’t expect the US Congress to get its act together and pass this bill. You’ll recall
SemiWEEK: Exchange Rates. Forecasting Guide. Risk vs Uncertainty; ASM Pacific Technology wins its Sixth Triple Crown; Stocks grew
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
TechInsights' Semiconductor Equipment Report
TechInsights' Semiconductor Equipment Report
SMIC’s Next Generation Process
TechInsights is first and foremost focused on supporting innovation and decision making. Because of the far-reaching interest in this latest technology, we thought we would share some of the discussions we’ve been having. Here are a few of the common questions we are seeing, and our corresponding answers.
It’s cooling off as more fog rolls in
It’s cooling off as more fog rolls in Andrea Lati Order activity for semiconductor equipment continued to trend lower, slipping to 86 degrees Subcon/Adv. Packaging led the decline with temperatures tumbling 8 points last week Even though the overall
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
MPR Editorial: Nanometer Nonsense
Both leading foundries allowed customers to claim they were using a 4nm process when, in fact, they were using 5nm technology. This situation renders node names meaningless. Featured in our July 22 Microprocessor Report we are making this available, in full, for a limited time.
ROHM Gen 4: A Technical Review
A collaboration between Dr. Stephen Russell (TechInsights) and Prof. Peter Gammon (PGC). ROHM released their 4th generation (Gen 4) MOSFET products this year. The new range includes MOSFETs rated to 750 V (increased from 650V) and 1200 V, with a number of the available TO247 packaged components automotive qualified up to 56A/24mΩ.
Teardown: ASUS ROG Rapture GT-AXE11000 router
Teardown: ASUS ROG Rapture GT-AXE11000 router Routers are essential for any internet connections inside the home, whether it be for gaming, work or operating entertainment systems or smart home devices. ASUS has been in the business of creating
Editorial: OEMs Lack Good Value Options
Overly cautious about rising development costs and risk, vendors aren’t refreshing their lower-cost processors, hurting themselves and customers. They must consider business cases other than merely chasing the biggest customers.
Enlightra Team Demos Photonic AI Engine
A research team led by Enlightra’s founders demonstrated a new method of photonic AI inference that is smaller than earlier photonics implementations. Using photonics also provides opportunities for resource sharing that aren’t available with electronics.
MediaTek Delivers Efficient Cortex-X2
Our die-photo analysis reveals that the Dimensity 9000 features the smallest Cortex-X2 design, but Apple’s Avalanche CPU still leads in performance and power efficiency.
Exchange Rates. Forecasting Guide. Risk vs Uncertainty
Exchange Rates. Forecasting Guide. Risk vs Uncertainty G. Dan Hutcheson The Chip Insider® Comments, Questions & Answers: “Dan, what’s up with exchange rates?” The Euro is at parity with the Dollar and the Yen is approaching 140 to the dollar. Back at
SemiWEEK: SEMICON West and Forecast perspectives. SMIC’s 7nm Chips. EUV changing Fab Economics; Nidec SV TCL – Five Star Partner to Customers; Stocks soared
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Teardown: Lenovo Mirage VR S3 headset
Teardown: Lenovo Mirage VR S3 headset Virtual reality (VR) headsets have taken off in recent years after remaining stagnant due to more content becoming available for users to enjoy both movies, games and other entertainment forms. With the inception
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Temperatures are cooling off, but the path is still clear
Temperatures are cooling off, but the path is still clear Andrea Lati Order activity for semiconductor equipment continued to cool off, slipping to 88 degrees Despite the recent slowdown, order activity remains in a healthy expansion phase Bookings
Teardown: Apple Macbook Pro 16
Teardown: Apple Macbook Pro 16 While Apple may be most famous for its handheld internet-enabled devices, its Macbook Pro line has been a steady supply of revenue for the company for many years. The Macbook Pro has been a staple for many corporate IT
Cadence Cuts ConnX Costs
New ConnX 110 and 120 DSP cores upgrade the company’s BBE DSPs and are compatible with the higher-performance ConnX B10 and B20. They target wireless communications, radar, and lidar.
MCX Unifies NXP Microcontrollers
NXP is unifying its microcontroller offering with the new MCX family. Although this doesn’t indicate the end of the older families, it will be the focus for new designs that need modern features.
Editorial: Nanometer Nonsense
Both leading foundries allowed customers to claim they were using a 4nm process when, in fact, they were using 5nm technology. This situation renders node names meaningless.
Oppo Find X5 Pro improves Anti-Shake Technology with 5 axis image stabilization
Oppo Find X5 Pro improves Anti-Shake Technology with 5 axis image stabilization John Scott-Thomas The Oppo Find X5 Pro was released in March, 2022 and introduce 5 axis optical image stabilization. This allows a putative x3 improvement in anti-shake
SemiWEEK: HANMI – 10 BEST Korean Chip Equipment Supplier; Stocks surged
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
SEMICON West. SMIC’s 7nm Chips. EUV changing Fab Economics
SEMICON West. SMIC’s 7nm Chips. EUV changing Fab Economics G. Dan Hutcheson The Chip Insider® SEMICON West was packed as the world’s silicon-birds came back to their migratory path, after two years of various COVID forced lockdowns, in an attempt to
Temperatures are cooling off, but the path is still clear
Temperatures are cooling off, but the path is still clear Andrea Lati Order activity for semiconductor equipment continued to trend down, slipping to 89 degrees The rate of decline has moderated in the last two weeks following a steep drop in June
Teardown: Samsung Galaxy Z Fold 5G
Teardown: Samsung Galaxy Z Fold 5G Foldable phones, once thought to be a dream, have become a reality. While flip phones were introduced many years ago as one of the first iterations of expanding cell phone form factors, it wasn’t the screen that
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Teardown: Lenovo ThinkPad X1 Fold laptop
Teardown: Lenovo ThinkPad X1 Fold laptop Foldable electronics are growing in number but remain a niche market overall. Consumers are interested in the technology but the practicality of using foldable electronics is something that just hasn’t caught
Disruptive Technology: 7nm SMIC MinerVa Bitcoin Miner
TechInsights has discovered what appears to be SMIC 7nm technology in the MinerVa Bitcoin Miner SoC. Learn why this matters and its market impact by downloading our Disruptive Technology Brief today.
Webinar - Recent GaN Innovation, Moving from Consumer to Data Center and Automotive
In this webinar, Dr. Stephen Russell will delve into the innovations found within recently released GaN devices for both low-voltage ( 600 V) devices aimed at data centers and high voltage (>650 V) devices looking to enter the light industrial and even automotive markets.
RISC-V Extension Eliminates Division
RISC-V International, the governing body of the open-standard instruction set, recently approved four new specifications that address multiplication, bootloaders, and debugging, reducing the gap with Arm.
Imagination Launches RISC-V Core
Imagination Technologies has unveiled its first Catapult family member. Based on the RISC-V architecture, the CPU core targets real-time applications, putting Cortex-R52 in its sights.
Gaudi2 Makes Impressive MLPerf Debut
In the latest round of MLPerf Training results, Graphcore’s Bow offers a modest improvement and Habana’s Gaudi2 triples performance over its predecessor, vaulting past Nvidia’s A100 on one benchmark.
Upcoming New 3D NAND TLC Devices: Samsung 176L & 238L, SK hynix 176L, KIOXIA/WD 162L
Micron, and now other leading 3D NAND players such as Samsung, SK hynix, KIOIXA, and Western Digital (WD) have finished the risky product stage and just moved onto the high-volume product stage with a higher yield for SSD application. Dr. Jeongdong Choe compares each.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Intel 10nm Processors Target Comms
New Intel Atom processors have 4 to 24 CPUs and target enterprise networking and SMB storage. They extend the Snow Ridge product line and largely replace the Denverton family.
AlphaICs Joins Crowded Edge-AI Market
AlphaICs is sampling a test version of its Gluon edge-inference accelerator chip. Given moderate performance, the company plans changes to improve the production version, with an architectural overhaul planned for the second generation.
Dimensity 9000 now available in Plus Size
MediaTek and Qualcomm announced midlife kickers for their premium-smartphone processors, delivering the Dimensity 9000+ and Snapdragon 8+ Gen 1, respectively, and showing that not all 4nm processes are the same.
TSMC’s Technology Symposia, EUV Economics. Materials Outlook
TSMC’s Technology Symposia, EUV Economics. Materials Outlook G. Dan Hutcheson The Chip Insider® TSMC’s Technology Symposia: TSMC has hosted its annual technology tour-de-force in recent weeks. As for the live one in Santa Clara, I’m not sure who was
SemiWEEK: EUV is changing Fab Economics. Forecast Visibility; FormFactor Triple Crown & Top Focused Supplier; Stocks rose
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Edwards- Number 1 WFE Subsystems Supplier
Edwards- Number 1 WFE Subsystems Supplier Edwards Awarded 10 BEST Supplier
Disruptive Technology: ROHM Generation 4 SiC MOSFET
New ROHM 4th Generation SiC MOSFETs Featuring the Industry’s Lowest ON Resistance. Download the product brief for more details, and for a high-resolution image showing the trench structure with annotations.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics ReportThank you
Thank you for registering to watch the webinar. Click the play button on the video below and enter the password you received in your email to watch the webinar. If you are having difficulty accessing the password provided, please contact us and we
Webinar - Memory Process and Integration Challenges: DRAM & NAND
In this Memory webinar, Dr. Jeongdong Choe gave an overview and discussed the latest memory technology trends and challenges, focusing on DRAM and NAND devices.
There's still plenty of light but it's cooling and clouds loom...
There's still plenty of light but it's cooling and clouds loom... Andrea Lati Order activity for semiconductor equipment tumbled five points in the last week of June as chipmakers continued to grow increasingly cautious about their near-term
Qualcomm RF Front End Expansion: Beyond Smartphones
Having a true end-to-end, modem-to-antenna solution and capitalizing on the benefits of having developed class-leading mobile applications with integrated baseband (BB) processors/modems, there is nothing missing from Qualcomm’s portfolio.
ASML- Only Five Star WFE Leader
ASML- Only Five Star WFE Leader ASML is awarded 10 BEST, THE BEST, and RANKED 1st awards
Worldwide silicon demand and OEM electronics sales are expected to slow to 7% and 6% in 2022 as semiconductor shortages are abating and Macro headwinds intensify
Worldwide silicon demand and OEM electronics sales are expected to slow to 7% and 6% in 2022 as semiconductor shortages are abating and Macro headwinds intensify ForecastPro Updates included are the following: ForecastPro: Silicon Demand – v22.07
Arm G715 GPU Catches Some Rays
Ray tracing in smartphones takes a big step with Arm’s new Immortalis-G715 GPU, while the Mali-G715 version brings new features and better performance to mainstream devices.
Enlightra Prunes Lasers From WDM
The startup’s technology can create a hundred optical data carriers from a single laser, greatly reducing the cost and power of high-bandwidth optical links that use wavelength-division multiplexing.
Cortex-X3 Powers Up
Arm’s new Makalu CPUs, branded Cortex-X3 and Cortex-A710, deliver performance and power-efficiency gains, respectively. The IP vendor also updated Cortex-A510 and the DSU-110.
SemiWEEK: KOKUSAI ELECTRIC - Customers Award Triple Crown; Stocks plummeted
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
EUV is changing Fab Economics. The Forecasting Problem.
EUV is changing Fab Economics. The Forecasting Problem. G. Dan Hutcheson The Chip Insider® EUV is changing Fab Economics: Last week I was at Frontiers of Characterization and Metrology for Nanoelectronics, to hear a battle of the presenters on EUV
ASM Pacific Technology- Wins its Sixth Triple Crown
ASM Pacific Technology- Wins its Sixth Triple Crown ASM Pacific Technology Awarded 10 BEST Supplier
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Nidec SV TCL- Five Star Partner to Customers
Nidec SV TCL- Five Star Partner to Customers Nidec SV TCL awarded one of THE BEST Suppliers in Test Subsystems
Temperatures are cooling as the summer fog rolls in
Temperatures are cooling as the summer fog rolls in Andrea Lati Order activity for semiconductor equipment continued to cool, falling by another three points in the fourth week of June Memory and SOC Consumer segments are driving much of the pullback
PowerUp Expo 2022
TechInsights presents @ PowerUp Expo 2022, June 28-30 Virtual Conference & Exhibition
TechInsights Acquires Strategy Analytics to Further Expand its Capabilities in Microelectronics Market Analysis
TechInsights is excited to announce the acquisition of Strategy Analytics, the foremost market analyst firm studying high value electronic products. The company supplies syndicated research across the global consumer technology sector, providing the deepest wireless and automotive coverage in the market.
Strategy Analytics joins TechInsights
We are proud to announce Strategy Analytics is now part of TechInsights. Strategy Analytics supplies syndicated research across the global consumer technology sector, providing the deepest wireless and automotive coverage in the market.
SiPearl Targets European Supercomputer
French startup SiPearl has received additional funding to complete the development of its Rhea supercomputing processor, which has changed considerable from its original specifications.
NXP Chip Combines Car Functions
NXP’s S32Z2 and S32E2 processors integrate multiple low-level automotive functions into a single SoC that preserves isolation between virtualized electronic control units (ECUs) from software through I/Os.
MIPS Releases First RISC-V CPUs
MIPS Inc. has developed its first licensable CPUs implementing the RISC-V instruction set by repurposing older MIPS-compatible cores. The P8700 and I8500 outperform most other RISC-V designs.
SemiWEEK: Chiplets a new design methodology? Becoming Great: Tom Tsuneishi. Lowering blame game probability; Foundry & IDM Capital Expenditures; Technoprobe 5th Year as #1 Probe Card Supplier; Stocks increased
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Foundry & IDM Capital Expenditures 2Q2022
Foundry & IDM Capital Expenditures 2Q2022 Shereen Vaux 2022 will be another record setting year for CapEx. Advanced Logic and Foundry will surpass the $100B mark in 2022 as chipmakers expand aggressively across all nodes. Discrete, Analog, & Other
Correctly Understanding the Intel 4 Scaling Value
Intel recently presented their Intel 4 process innovation at the 2022 VLSI Symposium. They shared quite a bit of information about the new process, as they have done with nodes previously before products were released.
HANMI- 10 BEST Korean Chip Equipment Supplier
HANMI- 10 BEST Korean Chip Equipment Supplier HANMI is awarded 10 BEST and THE BEST awards
Reverse Engineering the first Silicon-Oxygen Anode battery-based Smartphone
As part of the TechInsights Li-Ion Battery Essentials subscription, we had the opportunity to reverse engineer the Amperex BM55 battery pack found in the Xiaomi Mi 11 Ultra. Xiaomi claims this is the first smartphone to use a Silicon Oxygen Anode based battery.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Webinar: Practical Implementations of Advanced Silicon-based Anode Technology
This webinar discusses the practical implementations of advanced silicon anode technology from Panasonic/Tesla (NCR 21700 cell), ATL (BM55 from the Xiaomi Mi 11 phone) and Sila Technologies (Whoop 4.0 fitness tracker). The session will provide imagery and analysis comparing these industry leaders' anode structures and materials.
DRAM Scaling Trend and Beyond
When it comes to DRAM cell scaling, we refer to the cell pitch trends from Samsung, SK Hynix, and Micron DRAM products, including active, WL, and BL pitches.
It's cooling off but orders are still humming along
It's cooling off but orders are still humming along Andrea Lati Order activity for semiconductor equipment fell nearly three points in the third week of June, slipping below the 100-degree mark for the first time this year The recent declines are
FormFactor- Triple Crown & Top Focused Supplier
FormFactor- Triple Crown & Top Focused Supplier FormFactor takes 10 BEST Supplier Award
Cortex-M85 Is Fastest MCU Core
The superscalar Cortex-M85 is Arm’s fastest core for standalone microcontrollers and MCU-like subsystems. A vector unit helps it excel at AI.
Silicon Labs Adds AI To MCUs
Silicon Labs has added a small deep-learning accelerator to its newest wireless microcontrollers, reducing the power required for inference of tiny AI models.
Editorial: It's Getting Hot In Here
Server processors, AI accelerators, and switch chips are consuming more power and generating more heat, some as much as 950W. Data centers must change to accommodate these hot chips.
SemiWEEK: Memory Lithography and Product Strategy. Consistent Development Cadence results from solid Probability Management; EV Group’s Tenth Consecutive Triple Crown Win; Stocks plunged
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Chiplets a new design methodology?
Chiplets, a new design methodology? The Chip Insider® Author: G. Dan Hutcheson Uncover the transformative potential of chiplets in semiconductor design. From historical origins to modern initiatives like UCIe, explore how chiplets are reshaping SiP
The highest growing packaging segments were LGA and QFN followed by CSP/WLP in 2021
The highest growing packaging segments were LGA and QFN followed by CSP/WLP in 2021 ForecastPro Updates included are the following: ForecastPro: Packaging Demand – v22.06 TechInsights' packaging survey found a continuing shift towards leadless
KOKUSAI ELECTRIC- Customers Award Triple Crown
KOKUSAI ELECTRIC is awarded 10 BEST and THE BEST awards
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Technoprobe- 5th Year as #1 Probe Card Supplier
Technoprobe- 5th Year as #1 Probe Card Supplier Technoprobe awarded RANKED 1st Supplier in Test Subsystems
Temperatures are cooling as more clouds roll in
Temperatures are cooling as more clouds roll in Andrea Lati Order activity for semiconductor equipment tumbled nearly seven points in the second week of June as caution abounds among chipmakers All segments turned lower with Memory recording the
Global Fab Equipment Spending Expected to Reach Record $109 Billion in 2022, SEMI Reports
Global Fab Equipment Spending Expected to Reach Record $109 Billion in 2022, SEMI Reports MILPITAS, Calif. — June 13, 2022 — Global fab equipment spending for front-end facilities is expected to increase 20% year-over-year (YOY) to an all-time high
Auto Ethernet Goes Multi-Gigabit
Broadcom and Marvell now offer automotive Ethernet switch chips capable of 55Gbps and 37Gbps. Usable in both zonal and domain architectures, they support the move to automotive Ethernet and its rising bandwidths.
Tachyum Targets Petaflop/s
The startup is close to taping out its “universal” processor, branded Prodigy, which aims to deliver industry-leading performance on server, supercomputer, and AI workloads.
Snapdragon 7 Gen 1 Adopts Arm V9
The CPU performance of Qualcomm’s mid-premium smartphone processor is similar to last year’s, but its capabilities in total make for a substantial upgrade.
TechInsights @ IPBC Global 2022 – Intellectual Property Conference (June 12 -14)
TechInsights @ IPBC Global 2022 – Intellectual Property Conference (June 12 -14)
Memory Lithography and Product Strategy. Consistent Development Cadence with Probability Management.
Memory Lithography and Product Strategy. Consistent Development Cadence with Probability Management. G. Dan Hutcheson The Chip Insider® Memory Lithography and Product Strategy: Micron recently announced that it was jumping back into EUV with plans to
We will see a clear scaling trend delay in Logic 3nm?
TechInsights has added the most recent data from our Digital Floorplan Analysis and TechInsights' speculation for TSMC N3 technology that we expect to see in the next 18 months.
SemiWEEK: Inflation – Why it’s good for Semiconductors; Plasma-Therm The Top Etch & Clean Supplier in the TechInsights Customer Satisfaction Survey; Stocks sunk
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
eBook: 2022 and Beyond for Memory Technology
Delve into the latest trends and challenges in DRAM, NAND Flash, and Emerging Memory markets, authored by renowned expert Dr. Jeongdong Choe.
EVG- Tenth Consecutive Triple Crown Win
EVG- Tenth Consecutive Triple Crown Win EV Group Awarded 10 BEST Supplier
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Intelligent Sensing for Better and Smarter Living – MEMS & Imaging Sensors Summit Returns to Grenoble
Intelligent Sensing for Better and Smarter Living – MEMS & Imaging Sensors Summit Returns to Grenoble GRENOBLE, France – June 7, 2022 – With MEMS and imaging sensor innovations driving an explosion in medical, mobility, communications and other Smart
Plasma-Therm: The Top Etch & Clean Supplier
Plasma-Therm: The Top Etch & Clean Supplier Plasma-Therm ranks as 10 BEST Focused Chip Making Supplier
All eyes are focused on 2023
All eyes are focused on 2023 Andrea Lati Order activity for semiconductor equipment resumed its decline, slipping 1.1 points in the first week of June Despite the pullback, order activity remains robust and is pointing to continued expansion ahead
Habana Gaudi2 Triples Performance
The latest chip from the Intel subsidiary offers a big performance jump from the initial Gaudi, putting it into the same class as Nvidia’s new Hopper GPU.
Recogni Delivers One Petaop/s
The startup’s initial AI accelerator can process four streams of 4K video while using less than 25W. A novel approach to math and weight compression help keep the die size small.
WI-FI 7 AP Chips Coming Next Year
Next-generation Wi Fi 7 is on the horizon, and the leading wireless-LAN chipmakers—Broadcom, MediaTek, and Qualcomm—are already sampling new access-point chipsets for production next year.
Global Semiconductor Sales Increase 21.1% Year-to-Year, 0.7% Month-to-Month in April
Global Semiconductor Sales Increase 21.1% Year-to-Year, 0.7% Month-to-Month in April Sales into the Americas increase 40.9% year-to-year to lead all regional markets WASHINGTON—June 6, 2022—The Semiconductor Industry Association (SIA) today announced
SIA News Roundup
Sen. Mark Warner, Semiconductor Industry Execs Meet to Discuss Strengthening Domestic Chip Research, Design, Manufacturing
Inflation – Why it’s good for Semiconductors
Inflation – Why it’s good for Semiconductors G. Dan Hutcheson The Chip Insider® Price inflation is something one hears about almost every day. Running in the high single-digit range in most large countries, its impact reverberates up and down the
Strong demand coupled with rising ASPs will propel semiconductor sales past $678B in 2022
Strong demand coupled with rising ASPs will propel semiconductor sales past $678B in 2022 ForecastPro Updates included are the following: ForecastPro: Semiconductor Forecast – v22.06 CAPEX Forecast – v22.06 Semiconductor sales are expected to
SemiWEEK: Manish Bhatia Fireside Chat. Becoming Great: Micron; Advantest five star ATE supplier in the TechInsights Customer Satisfaction Survey; Stocks were flat
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Probe Card Market hit $2.5B in 2021
Probe Card Market hit $2.5B in 2021 Ha Pham 2021 was another great year for the Probe Card market with sales hitting $2.5B. Foundry and IDM Logic drove most of the gains thanks to a soaring demand, especially at the leading-edge nodes. The Top 10
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Advantest Five Star ATE Supplier
Advantest five star ATE supplier Advantest Awarded RANKED 1st 10 BEST Supplier
Companies Are Hacking Their Way Around the Chip Shortage
The supply chain issues have no end in sight, so manufacturers are being forced to improvise.
THE HIGHEST- RATED SUPPLIERS OF TEST CONNECTIVITY SYSTEMS ⃰ - PROBE CARD SUPPLIERS
Technoprobe, FormFactor, and Nidec SV TCL earn 5 Stars by customers as the 2022 Highest-Rated Test Connectivity Suppliers in the TechInsights Customer Satisfaction Survey.
It's hot and humid but distant clouds threaten the upturn
It's hot and humid but distant clouds threaten the upturn Andrea Lati Order activity for semiconductor equipment held steady at a hot 110 degrees in the last week of May Equipment demand remains red hot and is not showing any signs of abating anytime
Dimensity 1050 Adds Millimeter-Wave 5G
MediaTek’s first smartphone processor to support the highest cellular bands targets mmWave operators in the US and Japan. In addition, the Dimensity 930 upgrades midrange sub-6GHz phones.
Helio G99: The Final 4G Processor?
MediaTek released a 6nm shrink of the Helio G96, reducing cost and extending battery life. The G99 product number signals it may be the company’s final LTE processor.
Mediatek's Genio Is Out of The Bottle
MediaTek has created a new brand for its embedded application processors. The family includes older rebranded chips but introduces a new flagship model, the Genio 1200, for AI IoT applications.
SemiWEEK: It’s red hot out there despite growing concerns about the economic outlook; Stocks jumped
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Linley Spring Processor Conference 2022 Proceedings
Presentations address processors and IP cores for AI applications, embedded, data-center, automotive, and server designs. Linley Gwennap, principal analyst, TechInsights, opened the conference with an overview of the latest market, technologies, equipment-design, and silicon trends. Also featured, was a keynote from another industry leader.
It’s red hot out there despite growing concerns about the economic outlook
It’s red hot out there despite growing concerns about the economic outlook Andrea Lati Order activity for semiconductor equipment declined a mere 0.2 points in the third week of May Despite the pullback in the last couple of months, overall activity
Foundries will be the biggest segment for WFE sales for the fourth consecutive year in 2022
Foundries will be the biggest segment for WFE sales for the fourth consecutive year in 2022 WFE Forecast Updates included are the following: Equipment Database: WFE by Application – v22.05 2021 was a great year for WFE sales in Foundry and Memory
Webinar: mmWave Antenna Module Implementation Considerations for 5G Smartphones
TechInsights’ Radu Trandafir takes a closer look at the three mmWave antenna modules used by Apple in the iPhone 12/13 which mark an interesting departure from the “traditional” Qualcomm-based architecture at the implementation level.
TimberAI Scales Down an Octave
Expedera’s new licensable deep-learning accelerator (DLA) performs simple neural networks for audio processing in a tiny engine that draws less than one milliwatt.
SemiWEEK: SPIE, Hi-NA EUV, Digital Twins, Dry Resist, and the LAP and Memory CapEx Discrepancy; 10 BEST and THE BEST Suppliers of 2022; Stocks were mixed
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Computer chip giant ASML places big bets on a tiny future
ASML’s $400 million machine for next-generation chips is a high-stakes gamble. TechInsights’ Dan Hutcheson weighs in on this potential game-changer.
AMD Adds ZEN 3 To Premium Chromebooks
AMD has expanded its Chromebook-processor lineup with four products based on the Barcelo design, including the top-of-the-line Ryzen 7 5825C, that target systems priced at $600 or more.
Alder Lake-HX Maxes Laptop Performance
Intel’s Core i9-12900HX sets performance records for laptop PCs, offering two extra “performance” cores at up to 5.0GHz for the most-demanding mobile gamers and professionals.
10 BEST Semiconductor Equipment Supplier Rankings for 2022
Advantest, ASML, FormFactor, Plasma-Therm, and EV Group earn 5 Star Ratings in the 2022 10 BEST Suppliers
The second half is looking solid despite macro concerns
The second half is looking solid despite macro concerns Andrea Lati Order activity for semiconductor equipment held steady at a hot 110 degrees in the second week of May All segments ended the week flat, except for Memory which slipped to a new low
THE BEST Semiconductor Equipment Suppliers of 2022
Take a look into what THE BEST suppliers of 2022 are best at, and their ratings.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
D-Matrix Transforms SRAMS For AI
The AI-processor startup has emerged from stealth mode with a chiplet-based inference engine that employs digital in-memory computing (IMC) to run Transformer models like those in Bert and GPT-3.
Intel Blockscale Boosts Mining Profit
Intel has introduced a new bitcoin-mining ASIC that competes respectably where the original test chip didn’t. The company will sell the chip directly rather than building its own system for sale.
Versal Adds AI To Premium Line
AMD has added two new FPGA products to its Versal Premium line. They combine AI engines to accelerate neural networks along with plenty of programmable logic and DSP blocks for signal processing.
SemiWEEK: Inflation infecting semiconductors. SPIE 2022; Stocks were mixed
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Samsung SmartTag+ & Apple AirTag: An Ultra-Wide-Band Device Comparison
Like Bluetooth, the UWB is a short-range, low-power, radio-based communication technology whose primary purpose is for location discovery and device ranging. However, UWB offers a multitude of different functions, such as fast and reliable file-sharing features and secure transactions.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
TechInsights' Critical Sub-System Driving Forces
TechInsights' Critical Sub-System Driving Forces
Still hot and green but clouds loom...
Still hot and green but clouds loom...
Chip Vendors Help Power Up 6GHz
Broadcom and Qualcomm will support a new automated-frequency-coordination (AFC) system to allow Wi-Fi and unlicensed 5G devices to use higher power in the 6GHz band, increasing performance and range.
Intel GNA Engine Adds Vision
The Gaussian and Neural Accelerator supports always-on audio and vision workloads, reducing power and adding security. It appears on Intel PC processors, other chips, and the Clover Falls add-on chip.
AMD Ships 3D V-Cache Processors
The Ryzen 7 5800X3D PC processor and Milan X server processor use TSMC’s chip-on-wafer (CoW) technology to stack more cache on the compute die, improving game and HPC performance.
TechInsights' Semiconductor Equipment Report
TechInsights' Semiconductor Equipment Report
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
It's hot but distant clouds threaten the upturn
It's hot but distant clouds threaten the upturn
AMD Adds DPUs With Pensando Buy
By acquiring Pensando, AMD will instantly offer DPUs alongside its CPUs and GPUs. Although it principally sells smart NICs, the startup recently announced a design win for its 7nm second-generation chip.
GF Fotonix Unites CMOS, Photonics
The new GlobalFoundries process combines photonic and digital components on a single 45nm chip. The primary application is data-center communications, but lidar and computing will benefit as well.
Fewer Find 3nm Worth the Wait
TSMC and Samsung have suffered lengthy delays in their 3nm processes, and the gain in density and other characteristics is smaller than in previous nodes. Intel says its future nodes are on schedule.
The EPC 2152 – A Fully Integrated GaN Half-Bridge IC
TechInsights comments on what the main reasons for this integration are, other innovations throughout the GaN die, and how there is not a ‘one size fits all’ approach in regard to power semiconductor devices.
SemiWEEK: SEMI ISS Takeaways Continued; Supply-chain clouds are still hanging over the industry; Stocks were mixed
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Pat Gelsinger Takes us on a Trip Down Memory Lane – and a Look Ahead
Dick James, a Senior Fellow and Technology Analyst with TechInsights, entered the semiconductor industry in 1970, working for Philips Semiconductor. In this blog, he presents a retrospective of gate technology, ranging from 1971’s 10-micron design rules (!) through to the more modern 5-nanometer.
TetraMem Touts In-Memory DLA
The Silicon Valley startup uses a new type of memristor to perform analog math for deep-learning acceleration. It provides nonvolatile storage and performs low-power AI computations.
Sakura Debuts for Edge AI
EdgeCortix launched its first edge-AI inference chip by hardening its DNA IP, delivering low latency and high power efficiency for applications that fit into 5W to 20W.
Synopsys NPX6 Expands AI Options
The new deep-learning accelerator (DLA) can scale to more than 2,000 TOPS, providing a licensable core for applications such as autonomous driving and natural-language processing.
SemiWEEK: SEMI ISS, Anne Kelleher, Moore’s Law; Stocks were mixed
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Main and Periscope Camera Trends at Apple, Samsung, Xiaomi, Vivo, Huawei, and Sharp
If you want to understand the progress of competitors and the recent situation of the market, this presentation reviews selected structural and feature highlights of Apple, Samsung, Xiaomi, Vivo, Huawei, and Sharp cameras, focusing on main & ultrawide cameras, and the periscope camera trends.
Linley Spring Processor Conference 2022
For more than a decade, The Linley Group has delivered the industry’s premier processor conferences. This year, the Linley Spring Processor Conference will return to Santa Clara on April 20-21, 2022 with a new hybrid format; if you can’t attend in person, you can tune in to our virtual livestream.
Spring orders are flitting like butterflies but 2H22 is becoming blurry
Semiconductor Equipment Weather Report
Chip demand cooling
TechInsights' Semiconductor Analytics Report
Sony Xperia 5 III uses a periscope camera with two Zoom settings
The Package channel continued building its periscope camera report database with a look inside the Sony Xperia 5 III smartphone. Notably, this is the first periscope camera TechInsights has examined that has a variable zoom; the magnification of the camera has two zoom settings.
Nvidia Orin Appears in MLPerf
The newest set of MLPerf Inference results showcase the same old vendors; almost all the data-center and edge accelerators came from Nvidia and Qualcomm. Orin was the notable newcomer.
Alchemist Turns Intel Into GPU Vendor
Intel’s new Arc products are its first significant discrete GPUs, using competitive performance and hardware ray tracing to bring new competition to laptop-PC graphics cards.
Editorial: Where’s the AI Competition?
The dominant AI-chip vendor, Nvidia has raised the bar with its new Hopper and Orin accelerators. Startups, hyperscalers, and large chip vendors try to compete with the company but keep falling short.
SEMI ISS, Anne Kelleher, Moore's Law
SEMI ISS, Anne Kelleher, Moore's Law G. Dan Hutcheson The Chip Insider® SEMI ISS: I have to say that 2022's conference was the best ever and I wasn't the only one who felt that way. It had record attendance and attendees were not disappointed. Maybe
SemiWEEK: Inflation is the new pandemic; Stocks fell
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
China and Russia down
China and Russia down G. Dan Hutcheson Semiconductor Analytics Semiconductor sales pulled back 3% W/W in the 1st week of 2Q22. Sales are closing in on 2021 levels. The IC weather cooled another 4°F last week. Zooming in on NAND growth this week, 13
It's Still Hot and Vistas Are Clear but Don't Forget the Mountainous Cycles
It's Still Hot and Vistas Are Clear but Don't Forget the Mountainous Cycles Andrea Lati The Chip Insider® Order activity for semiconductor equipment resumed its decline, slipping 0.6 points to 112 degrees in the first week of April Despite the recent
Reticle sales are expected to surge 18% in 2022
ForecastPro
Nvidia Reveals 51Tbps Ethernet Switch
Due to sample late this year, Spectrum-4 will quadruple the bandwidth of Spectrum-3 by both doubling per-lane speed to 100Gbps and doubling the number of serdes lanes to 512, yielding 51.2Tbps.
Merging Memory and Compute
Near-memory and in-memory compute are techniques for reducing computing power—especially for AI. But they mean different things to different companies. Understanding the differences is important for understanding how some AI chips work.
Nvidia Hopper Leaps Ahead
The next-generation AI architecture powers the H100 card and DGX-H100 system. The 700W flagship card triples peak performance over Ampere while adding FP8 support for more-efficient training.
Memory Components in Your Smartphones
Jeongdong Choe, TechInsight's Senior SME on Technology, compares China, Apple, and Samsung’s memory and storage components.
Inflation Is the New Pandemic
Strategy and Tactics: Inflation is the new pandemic
SemiWEEK: Why Governments Became So Concerned About Semiconductor Self-Sufficiency; Stocks Plunged
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
TechInsights partners with Cipher
TechInsights partners with Cipher to harness ML to leverage patent intelligence to reveal insight about the future direction of the Semiconductor industry
DRAM Sales on Track to Hit $111B in '22
TechInsights' Semiconductor Analytics report
All Eyes Are Focused on the Supply Chain
Semiconductor Equipment Weather Report
Linley Spring Processor Conference Features the Latest Breakthroughs in AI Silicon and Applications
TechInsights’ Linley Processor Conference – the industry’s premier technical event for microprocessors – returns this year, beginning this month with a must-see lineup of presentations revolving around the future of artificial intelligence.
Agilex Dials M for Memory
Intel announced its first Agilex to copackage DRAM, sporting a pair of HBM2e stacks that deliver up to 32GB. It’s also using the Intel 7 process to further cut power dissipation.
Renesas Refocuses on Enhanced ADAS
The R-Car V4H is a new automotive system-on-chip for vehicles with extended ADAS capabilities. It addresses functional safety and the greater AI performance for Level 2+ driving.
Snapdragon X70 Modem Trims Power
Qualcomm’s next 5G modem uses AI to reduce power and improve performance while maintaining the data rates of its predecessor.
Why Governments Became So Concerned About Semiconductor Self-Sufficiency
Strategy and Tactics: Why governments became so concerned about Semiconductor Self-Sufficiency
SemiWEEK: Intel’s Radical Post-Global National Mesh Strategy; Foundry & IDM Capital Expenditures; Stocks Fell
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Packaging Approaches to Gallium Nitride (GaN) Products
TechInsights reviews additional considerations compared to silicon to optimize device performance, the challenges associated to thermal management, and other innovative solutions related to GaN specific packaging solutions.
Foundry & IDM Capital Expenditures 1Q2022
Semiconductor Manufacturing
Critical Subsystems 2021 Vendor Market Shares
Updates to Critical Subsystems on Website
Chip Sales Slid Last Week
Chip Sales Slid Last Week G. Dan Hutcheson Semiconductor Analytics Semiconductor sales slid 1% W/W in the 4th week of March. Sales remain well above 2021. The IC weather warmed up 1°F last week. Zooming in on semiconductor growth this week, 13-wk MA
Still Hot and Green but Distant Macro Clouds Loom
Still Hot and Green but Distant Macro Clouds Loom Andrea Lati The Chip Insider® Order activity for semiconductor equipment continued to trend lower, slipping half a point in the last week of March The slight pullback in the last five weeks has been
TechInsights stands with Ukraine
TechInsights is shocked and heartbroken by the violence and loss of life due to the invasion of Ukraine. We stand in solidarity with the Ukrainian people and we are hopeful for an expedient path to peace.
SemiWEEK: Lam Research’s Selective Etcher. COVID Strikes Again; Stocks Eked Out A Gain
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Intel's Radical Post-Global National Mesh Strategy
Strategy and Tactics: Intel's radical Post-Global National Mesh strategy
Google Pixel 6 Pro Periscope Camera is a modified design of the Xiaomi Mi 10 Ultra module
In late 2021, the Google Pixel 6 Pro was launched and has been widely regarded as the best smartphone Google has made to date.
Communications Market Service
This subscription provides the detailed market information needed to sort out the complexities of the communications semiconductor market.
Semiconductor Sales on Track to Reach $0.7T in '22
Semiconductor Sales on Track to Reach $0.7T in '22 G. Dan Hutcheson Semiconductor Analytics report Semiconductor sales jumped W/W in the third week of March, with sales passing 50% Y/Y. The IC weather heated up 6°F last week. The March forecast
Worldwide OEM Electronics Sales Soared 19% Last Year
Worldwide OEM Electronics Sales Soared 19% Last Year Updates to Semiconductor Market Research Services on Website & Mobile App: ForecastPro Module Electronics & Macroeconomy - V22.03 Historical Ratios & Utilization Rates - V22.03 Diffusion Rates of
AI Processor Service
This annual subscription is updated quarterly and covers hardware technologies and products from more than 55 companies.
It's Hot but Supply Constraints Are Holding Up Growth
It's Hot but Supply Constraints Are Holding Up Growth Andrea Lati The Chip Insider® Semiconductor Equipment Weather Report Order activity for semiconductor equipment slipped to 113 degrees All segments held their ground at record levels, except for
Cirrus Logic 55 nm PMIC Products Observed in the Market
In a blog written by Stephen Russell, TechInsight's Senior Process Analyst, he explains why a logic node of 55 nm is important for a PMIC and his findings of recent teardown projects.
Critical Subsystems Driving Forces Update
Critical Subsystems Driving Forces Update Updates to Critical Subsystems on Website Substrate Processing Equipment Forecasts Semiconductor and Related Equipment Annual Unit Shipments v22.02ML DF Semiconductor and Related Equipment Quarterly Unit
Lam Research's Selective Etcher and COVID Strikes Again
Lam Research's Selective Etcher and COVID Strikes Again G. Dan Hutcheson The Chip Insider® Strategy and Tactics: Lam Research's Selective Etcher. COVID Strikes Again. What's Happening and What's the Meaning: Lam Research's Selective Etcher: Lam has
SemiWEEK: AI in the Fab: Who Does it? Ukraine’s Neon Supply. Apple 3rd in Semis; Stocks Soared
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
2021 Top Semiconductor Equipment Suppliers
2021 Top Semiconductor Equipment Suppliers The Chip Insider® Equipment & Emerging Markets 2021 was a very strong year for the equipment suppliers with sales soaring to record-highs. However, severe supply chain shortages made 2021 a challenging year
Apple Joins 3D-Fabric Portfolio with M1 Ultra?
On March 8, Apple held their “Peek Performance” event, introducing the all-new Mac Studio and Studio Display, a new iPad Air, the new iPhone SE, and two new shades of green for the iPhone 13 and iPhone 13 Pro.
Industry-leading DDR5 Technology
We have just entered into the era of DDR5 memory. All the major DRAM players such as Micron, Samsung, and SK Hynix have started to release their first DDR5 memory products (modules) since last year.
Analog & Power Rising Like 2021
Analog & Power Rising Like 2021 G. Dan Hutcheson Semiconductor Analytics Semiconductor sales were flat W/W in the second week of March. Though still high Y/Y, it was abnormally weak. The IC weather cooled another 1°F last week. Zooming in on Analog &
Worldwide Silicon Demand Is Expected to Increase 9% in 2022 as Semiconductor Demand Remains Strong; Stepper Shipments Surged 18% in 2021
Worldwide Silicon Demand Is Expected to Increase 9% in 2022 as Semiconductor Demand Remains Strong; Stepper Shipments Surged 18% in 2021 Updates to Semiconductor Market Research Services on Website & Mobile App ForecastPro Module Silicon Demand - V22
Ray-Ban Stories smart glasses cameras
The new Ray-Ban Wayfarer Stories glasses are a product developed by Facebook in a partnership with EssilorLuxottica, and TechInsights decided to take a look at the cameras these smart glasses use.
It's Still Hot and the Visibility Has Extended Well into 2H22
It's still hot and the visibility has extended well into 2H22 Andrea Lati The Chip Insider® Order activity for semiconductor equipment hovered at a hot 114 degrees All segments held their ground, except for Memory where order activity slipped for the
SemiWEEK: Planned Capacity Additions: Is there Too Much on the Books? Stocks Fell
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Apple 3rd in Worldwide Semiconductor Market
Apple 3rd in Worldwide Semiconductor Market G. Dan Hutcheson The Chip Insider® Strategy and Tactics: AI in the fab: Who does it? Ukraine's Neon Supply. Apple 3rd in Semis AI in the fab: Who does it? Pretty much everybody today. Chipmakers started to
Semiconductor Sales Pass 40%
Semiconductor Sales Pass 40% G. Dan Hutcheson Semiconductor Analytics Semiconductor sales rose above a 13-week MA annual rate of 40% while matching the 34% peak of Dec 2017. The IC weather was mixed, cooling 1°F last week. Zooming in on Logic this
Qualcomm QTM545 Analysis
The Samsung Galaxy S22 Ultra has the latest and greatest Qualcomm mmWave, but not in Europe...
The Industry Soars, but Carries a Tether of Caution
The Industry Soars, but Carries a Tether of Caution Andrea Lati The Chip Insider® Order activity for semiconductor equipment slipped half a point as Memory activity fell for the second week in a row The Memory market has been somewhat bumpy in the
CapEx Is Expected to Soar 23% in 2022, Surpassing the $180B Mark as Chipmakers Race to Add More Capacity
CapEx Is Expected to Soar 23% in 2022, Surpassing the $180B Mark as Chipmakers Race to Add More Capacity Updates to Semiconductor Market Research Services on Website & Mobile App ForecastPro Module CapEx Distribution - V22.03 Soaring demand and
SemiWEEK: Intel Investor Day. Tower, Trust, Data Analytics; Stocks Sunk
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Planned Capacity Additions: Is There Too Much on the Books?
Planned Capacity Additions: Is There Too Much on the Books? G. Dan Hutcheson Planned Capacity Additions. Is there too much on the books? That's the question every chip insider has been quietly asking recently. IR pros are being relentlessly hammered
NAND Growth Stays Strong
NAND Growth Stays Strong G. Dan Hutcheson Semiconductor Analytics Semiconductor sales continued to rise at an annual rate close to 30% with the shortage driving demand. The IC weather rose 1°F last week. Semiconductor Sales growth continues to soar
Workforce Development Solutions with SEMI
Ajit Manocha of SEMI has a conversation with Dan Hutcheson about the workforce development SEMI is accomplishing.
Order Activity Remained at Record Levels Despite Rising Uncertainty
Order Activity Remained at Record Levels Despite Rising Uncertainty Andrea Lati The Chip Insider® There was no discernable change in order activity for semiconductor equipment in the last week of February with temperatures staying near record levels
Strong Demand Coupled with Rising ASPs Will Propel Semiconductor Sales Past $675b in 2022
Strong Demand Coupled with Rising ASPs Will Propel Semiconductor Sales Past $675b in 2022 Updates to Semiconductor Market Research Services on Website & Mobile App ForecastPro Module Semiconductors - V22.03 Semiconductor sales soared 26% in 2021
The Upturn is Still Running Wild
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Intel Investor Day. Tower, Trust, Data Analytics.
Intel Investor Day. Tower, Trust, Data Analytics. G. Dan Hutcheson The Chip Insider® Strategy and Tactics: Intel Investor Day. Tower, Trust, Data Analytics. Intel Investor Day 2022: As 2020 came to a close it was clear to everyone interested, and
Innovation in Memory with Micron Technology
Scott DeBoer of Micron Technology has a conversation with Dan Hutcheson about what it takes to innovate in Memory today.
DRAM Growth Super-Hot
DRAM Growth Super-Hot G. Dan Hutcheson Semiconductor Analytics Semiconductor sales pulled up as the shortage continued to drive demand, with record sales in the $10-12B/week range. The IC weather held at 88°F last week. Semiconductor Sales growth
Samsung Galaxy S22 Ultra teardown
Our colleagues did a quick teardown of the Samsung Exynos 2200-powered Galaxy S22 Ultra, so we can share some of our early teardown results now.
The Upturn Is Still Running Wild
The Upturn Is Still Running Wild Andrea Lati The Chip Insider® Order activity for semiconductor equipment held steady at a hot 115 degrees With backlogs at all-time-highs and visibility extending into next year, Equipment manufacturers expect 2022 to
TechInsights' 2022 Semiconductor Forecast Raised to 14%
TechInsights' 2022 Semiconductor Forecast Raised to 14% G. Dan Hutcheson Semiconductor Analytics Semiconductor sales pulled back for a second week in a typical February drawdown, albeit at unseen historical heights. The IC weather warmed 1°F last
Microsoft and Sony Acquisitions - a Strategy Game
Microsoft and Sony Acquisitions - a Strategy Game Contributing author: Peter Johnston Access the world's largest database of consumer electronics and semiconductor reverse engineering Login to the Platform Microsoft and Sony have independently
Orders Are Flitting Like Butterflies
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
How Data Analytics Fails Forecasters
How Data Analytics Fails Forecasters G. Dan Hutcheson The Chip Insider® Strategy and Tactics: Data Analytics: How it fails forecasters. Data Analytics: How it fails forecasters — In general, data analytics are great management tools but poor
Semiconductor Sales Pull Back
Semiconductor Sales Pull Back G. Dan Hutcheson Semiconductor Analytics Semiconductor sales pulled back 5% W/W in a typical early February dip. The IC weather warmed 1°F last week. Semiconductor Sales growth continued to hover above the 20% Y/Y bar
Orders Are Flitting Like Butterflies
Orders Are Flitting Like Butterflies Andrea Lati The Chip Insider® Order activity for semiconductor equipment stayed red hot at 115 degrees Visibility for equipment suppliers has extended all the way to the end of the year and backlogs are hitting
PCI Express Gen6 Adopts PAM4
In its sixth generation, PCI Express underwent the biggest changes since its debut in 2003, adopting PAM4 signaling. The new modulation enables data rates to reach 64Gbps per lane.
Inflation: Why It's Worse Than Many Fear. Moore's Law.
Inflation: Why It's Worse Than Many Fear. Moore's Law. G. Dan Hutcheson The Chip Insider® Inflation: Why it's worse than central banks fear. Is inflation not transitory and worse than they say? Should we raise prices? These are two of the most common
Inflation: Why It's Worse Than Many Fear. Moore's Law.
Inflation: Why It's Worse Than Many Fear. Moore's Law. February 4, 2022 Inflation: Why it's worse than central banks fear. Is inflation not transitory and worse than they say? Should we raise prices? These are two of the most common questions I have
Apple AirTag Teardown
Our interest in tag trackers is how much technology has evolved. We live in a world where a device the size of a US quarter-cent piece can have multiple antennas, radio ICs, a battery and continue to operate as a two-way radio, pinpointing objects within feet of accuracy for almost a full year.
Order Activity Stayed Hot Amid Supply Chain Woes
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
TechInsights Answers: Power Semiconductors - What is On-Resistance?
A common question our Power Semiconductor experts encounter is: What is on-resistance? Stated simply, on-resistance is the total resistance between the drain and source terminals of a FET.
IC Supply/Demand Indices Loosened
IC Supply/Demand Indices Loosened G. Dan Hutcheson Semiconductor Analytics Semiconductor sales continued to explode out of the normal Christmas lull, ending January near 2021's peaks. The IC weather cooled 2°F last week. Semiconductor Sales growth
IC Supply/Demand Indices Loosened
Semiconductor sales continued to explode out of the normal Christmas lull, ending January near 2021's peaks. The IC weather cooled 2°F last week.
Lenovo ThinkSmart Hub Teardown
This analysis allowed us to compare or discover new manufacturers, sub-assemblies, and ICs we have found in the consumer device market with components and brands found in the business-focused ThinkSmart Hub.
Order Activity Stayed Hot Amid Supply Chain Woes
Order Activity Stayed Hot Amid Supply Chain Woes Andrea Lati The Chip Insider® Order activity for semiconductor equipment held steady at a sizzling 115 degrees Even though the visibility and demand for equipment suppliers is the best it's ever been
Order Activity Stayed Hot Amid Supply Chain Woes
Informing the media, public, and investors about semiconductor manufacturing trends and semiconductor industry outlook in wafer fab equipment, ATE, and assembly tools throughout the week with data and summaries from VLSI's latest reports and data analytic programs.
Mobileye EyeQ Ultra Aims for Level 4
The ADAS-processor leader is developing a single-chip solution for self-driving cars, along with its own lidar and radars. By 2025, Mobileye hopes its system will reduce the car buyer’s add-on cost to just $10,000.
Ceva Tackles Unstructured Sparsity
NeuPro-M, a licensable deep-learning accelerator, offers up to 16x faster inferencing than the previous generation thanks to its new architecture, Winograd modifications, and unstructured-sparsity engines.
Discrete GPUs Cut Power for Laptops
Low-cost laptops get a graphics boost from AMD and Nvidia, which now offer their leading GPU architectures in low-power products that increase gaming frame rates and enable hardware ray tracing.
Inventory: Loose or Tight? Equipment Shortages.
Inventory: Loose or Tight? Equipment Shortages. G. Dan Hutcheson The Chip Insider® Inventory: Loose or Tight? We were recently asked about the TCI Graphics IC Inventory data, after the WSJ published comments from the US Department of Commerce that
The Capex Race Rages On
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Wafer Fabrication Equipment for Advanced Packaging Projected to Reach $2.2B in 2022
Wafer Fabrication Equipment for Advanced Packaging Projected to Reach $2.2B in 2022 Updates to Semiconductor Market Research Services on Website & Mobile App Equipment Database Module Advanced Packaging Equipment - V22.01 Wafer Fab Equipment (WFE)
IC Supply/Demand indices tighten
IC Supply/Demand indices tighten G. Dan Hutcheson Semiconductor Analytics Semiconductor sales continue to explode out of the normal Christmas roll-over with double-digit W/W and Y/Y growth, as IC Supply/Demand tightened up. The IC weather warmed 1°F
1Q 2022 Assembly Equipment Outlook
1Q 2022 Assembly Equipment Outlook The Chip Insider® Semiconductor Manufacturing Semiconductor shortages turbocharged 2021 Assembly & Packaging and Equipment demand by growing 57% to $5.5B. While the demand growth for equipment is slowing, the high
The Capex Race Rages On
The Capex Race Rages On Andrea Lati The Chip Insider® Order activity for semiconductor equipment continued to heat up with no signs of slowing down any time soon Temperatures hit a fresh new high of 115 degrees last week as chipmakers continued to
Lightelligence Demos Optical Compute
Startup Lightelligence recently took its first step toward commercialization by demonstrating a proof-of-concept device that stacks an electrical IC atop a photonic IC.
Ryzen 6000 Doubles GPU Performance
AMD’s new laptop processor, code-named Rembrandt, is the first PC processor with integrated hardware ray tracing. Its new RDNA2 GPU also doubles graphics performance relative to the previous generation.
Recognizing the Best Chips and Technology of the Past Year
To recognize the top semiconductor offerings of the year, The Linley Group (powered by TechInsights) presents its 2021 Analysts’ Choice Awards.
Analysts’ Choice Winners for 2021
The Linley Group recognizes 2021’s top products in the categories of data center, PC, embedded, mobile, processor IP, and related technology. And the winners are…
More Than Moore Equipment Sales Are Expected to Surge 70% in 2022, Far Outpacing the Growth of the Overall Market
More Than Moore Equipment Sales Are Expected to Surge 70% in 2022, Far Outpacing the Growth of the Overall Market Updates to Semiconductor Market Research Services on Website More than Moore Module 200mm & 300mm Microlithography V22.01 200mm & 300mm
Intel's fab in Ohio. Maxim: Trust building
Intel's fab in Ohio. Maxim: Trust building G. Dan Hutcheson Strategy and Tactics: Intel's fab in Ohio. Maxim: Trust building, Tests for and the management of trust and risk. The Three Traits of Great Leaders. Intel's fab in Ohio: Since Insiders read
Customers are clamoring for more capacity
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Customers Are Clamoring for More Capacity
Customers Are Clamoring for More Capacity Andrea Lati The Chip Insider® Order activity for semiconductor equipment continued to heat up, jumping past 114 degrees SOC Computing and Memory added another point, hitting fresh new highs for the year With
1Q 2022 Test Equipment Outlook
1Q 2022 Test Equipment Outlook The Chip Insider® Semiconductor Manufacturing Advanced high-end 5nm, 3nm & beyond, Mobile, Automotive & Power, and Memory devices are expected to drive test demand, growing 19% in 2022. Test is in a long-term capital
IC Supply/demand Tightens
IC Supply/demand Tightens G. Dan Hutcheson Semiconductor Analytics Semiconductor sales continued to explode out of the normal Christmas roll-over with double-digit W/W and Y/Y growth, as IC Supply/Demand tightened up. The IC weather warmed 2°F last
Preview of Qualcomm’s 7th generation 4G/5G Envelope Tracker QET7100
Qualcomm announced the QET7100 – the successor to the QET6100, which they dubbed the "world’s first announced 5G 100MHz envelope tracking solution."
Intel Completes Alder Lake Lineup
Intel disclosed dozens of new Alder Lake products for both laptop and desktop PCs. The 12th Generation products offer sizable performance gains over earlier models, but often at higher prices.
Year in Review: Image Processors Enhance Phone Chips
In 2021, image processors (ISPs) became a hot topic in smartphone processors. 5G became an essential feature for midrange and premium phones, but CPU improvements are taken for granted.
Graviton3 Debuts Neoverse V1
Amazon recently revealed its third-generation server processor, with instances now in preview availability. Graviton3 uses Arm’s Neoverse V1 CPU while maintaining the 64-core count of its predecessor.
Business is in Full Bloom
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
eBook: Chevy Bolt Recall - A Battery of Problems
Discover the essential guide to the Chevrolet Bolt recall, uncovering detailed insights, impacts on owners, and the latest developments in automotive safety and electric vehicle technology.
Motorola edge X30 teardown
Motorola promotes the motorola edge X30 phone as having “the fastest speeds, the highest resolution smartphone camera, the loudest audio, and the boldest display.” So what technology is there to find behind the claims? TechInsights quick teardown answers some of these questions.
Semiconductor Sales Are Expected to Surpass $0.6T in 2022 and on Track to Hit $1T by 2030
Informing the media, public, and investors about semiconductor manufacturing trends and semiconductor industry outlook in wafer fab equipment, ATE, and assembly tools throughout the week with data and summaries from VLSI's latest reports and data analytic programs.
Year in Review: PC Processors Adopt Hybrid CPUs
Desktop PCs had a big 2021, thanks to two new Intel releases and that company’s introduction of hybrid technology. Meanwhile, Apple converted most of its Mac lineup to hybrid Arm-compatible processors.
EdgeQ Enables 5G Small Cells
The startup is sampling an integrated base-station processor with a DSP that uses the RISC-V instruction set. The SoC is suited to 5G small cells and remote units.
Business Is in Full Bloom
Informing the media, public, and investors about semiconductor manufacturing trends and semiconductor industry outlook in wafer fab equipment, ATE, and assembly tools throughout the week with data and summaries from VLSI's latest reports and data analytic programs.
Editorial: Imagination Jumps on RISC-V
With its new Catapult CPUs, Imagination Technologies has become the largest IP vendor to announce RISC-V products, helping position the open-source instruction set as the leading alternative to Arm.
2021 Review. SEMICON West 2021. Maskless Lithography. Strategy Statics versus Dynamics.
Strategy and Tactics: 2021 Review. SEMICON West 2021. Maskless Lithography. Strategy Statics versus Dynamics.
The ducks are lining up for the New Year
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Semiconductor Equipment Sales Projected to Hit $150B in 2022
Fueled by soaring demand, the semiconductor equipment market is on track to increase 35% in 2021, hitting an-all-time-high of $125B.
Year in Review: CPU-IP Vendors Chase High-End Wins
In the past year, new CPU cores from Arm and SiFive targeted high-performance applications in the data center and communications infrastructure. Many IP startups instead focused on edge AI.
WD Licenses RISC-V CPUs
Storage giant Western Digital added Linux and Android support to its Swerv line of RISC-V CPU in its new EHX3. The company is licensing the new CPU, which targets low-end application processing.
Marvell Bridges MIPI to Auto Ethernet
Marvell announced several products under its new Brightlane automotive brand. The 88QB5224 is a unique chip for bridging MIPI CSI-2 cameras to an Ethernet-based automotive network.
Year in Review: Edge AI Knows No Boundaries
In 2021, edge AI continued propagating far beyond the cloud; smart speakers alone were up 12% from last year. Increased demand attracted investors to edge-AI-chip startups, creating several new unicorns.
P650 Pumps Up Performance by 50%
SiFive added a new flagship CPU to its RISC-V “Performance” line that raises integer performance compared with its predecessor through additional execution resources, larger caches, and microarchitecture tweaks.
Kompanio 828 Tackles Chromebooks
For the holidays, MediaTek offers the Kompanio 820 and 828 to refresh its Chromebook line. These chips deliver multiday battery life, in part thanks to their 7nm manufacturing.
New Lab Investments Bringing us to the Future of Reverse Engineering
TechInsights’ unsurpassed technical abilities come from two important areas – our (amazing!) people, and our tools. To reverse engineer cutting edge technology, we require the equipment and the expertise that keep us at – or ahead of – the pace of the latest technology. And that requires continuous investment in our staff and our tools.
TechInsights' Experiences of the 2021 Chip Shortage
Our views on contributing factors, how it has impacted our semiconductor reverse engineering this year, and looking to the future.
Latest Revelations on the Snapdragon 8cx Gen 3
Qualcomm recently officially released the Snapdragon 8cx Gen 3 which is using 5LPE process technology and is therefore likely based on the Snapdragon 888 made with the Samsung 5LPE process. Based on their announcement and previous analyses, we have reached some key findings.
Snapdragon 8 Gen 1 Sports 18-Bit ISP
In delivering the Snapdragon 8 Gen 1, Qualcomm is upscaling smartphone cameras by adding native 18-bit processing. The new platform packs impressive upgrades across all sections, scoring double digit gains across each section.
Qualcomm Eyes Ultrathin PCs
Providing a big performance boost, the Snapdragon 8cx Gen 3 is suitable for some ultrathin Windows PCs, moving up from the 2-in-1 systems where Snapdragon serves today. The company also updated the Snapdragon 7c+.
Year in Review: Data Centers Spur New Architectures
The past year began with AMD and Intel introducing next-generation server processors. AI-accelerator vendors focused on delivering production-worthy versions of previously announced products, validated by MLPerf testing.
MediaTek Reenters Flagship Phones
After several attempts, MediaTek has delivered its first true flagship processor: the Dimensity 9000. The new smartphone chip features the latest CPU designs, a powerful GPU, and LPDDR5X support.
Ventana Develops RISC-V Chiplet
The startup has developed a custom high-performance RISC-V CPU that comes as a 16-core chiplet, making it easier for customers to design their own data-center processors.
Fitness wearable WHOOP 4.0 leverages a next-generation battery anode technology
The new fitness wearable WHOOP 4.0 leverages a next-generation battery anode technology, which has the potential to raise the bar in the very competitive fitness and health monitoring market where major players such as FitBit, Xiaomi, and Apple lead.
Whitepaper - Democratizing Chiplet-Based Processor Design
Chiplet-based designs promise reduced development costs and faster time to market, but they’ve been exclusive to large chip vendors. Now, the industry is building an ecosystem intended to enable designs combining third-party chiplets that employ different process nodes. At the same time, RISC-V is enabling greater CPU innovation through its open-source model. These trends create an opportunity for a RISC-V chiplet vendor. Ventana Micro Systems sponsored the creation of this white paper, but the opinions and analysis are those of the author.
Whitepaper - Bit-Accurate CD Audio From apX Lossless
Thanks to the development of Bluetooth audio technology, wireless streaming has largely replaced physical media, and smartphone users no longer need to struggle with tangled earphone wires. But to accommodate Bluetooth’s limited bandwidth, the industry adopted a variety of lossy compression techniques that sacrifice audio fidelity. This white paper describes the benefits of the aptX Lossless codec, which enables wireless Bluetooth earbuds and speakers to stream audio that’s bit accurate to the original CD recording. The Linley Group prepared this paper, which Qualcomm sponsored, but the opinions and analysis are those of the author.
NXP i.MX93 Adds AI to IoT Devices
The first i.MX9 processor will pack 64-bit CPUs, an MCU, and an AI accelerator to handle a range of IoT applications, including industrial control, smart home, smart city, and smart grid.
MediaTek Aims to Change Smart TVs
With its Pentonic 2000 processor, the TV-chip leader delivers impressive CPU, GPU, and AI-engine improvements to enable new capabilities such as bidirectional video and gaming without a console.
Centaur Trots Off Into the Sunset
After a 26-year run, Centaur Technology has reached the end of the trail. Glenn Henry’s processor-design team, known for its x86 expertise, has become part of Intel.
An examination of the 5G radio in Google Pixel 6 Pro
At the beginning of September we were pondering the rumors about a Samsung 5G modem that was predicted to show up on the US shores in the form of the 5G implementation solution for the yet to be released Google Pixel 6.
AMD CDNA2 Targets Supercomputers
AMD’s Instinct MI250 and MI250X GPUs deliver extreme floating-point performance through massive parallelism, targeting high-end scientific and government research with leading FP64 throughput.
Imagination CXT Fires Photons
At 1.3 billion rays per second, the Photon architecture in Imagination’s new PowerVR CXT RT3 GPU enables hardware-accelerated real-time ray tracing for the first time in mobile devices.
Kneron KL530 Targets Automotive
Kneron is targeting emerging computer-vision models with its KL530. The new SoC delivers 0.5 trillion INT8 operations per second (TOPS) and supports INT4 format for greater throughput.
eBook: The latest development trends in CMOS image sensors
CMOS image sensors (CIS) have continued to evolve in response to performance requirements of current applications of Smartphone Imaging, Security Surveillance, Biometrics, Automotive and Depth Sensing and Ranging.
Cracking Open Snapdragon’s 4-Pack
In its latest mainstream push, Qualcomm delivers a fresh four-pack filled with CPU, GPU, and camera upgrades. It’s raising performance across multiple segments from low-end to mid-premium.
Epyc Roadmap Gets Specialized
AMD recently disclosed new details of its Epyc server-processor roadmap, including Milan-X with V-Cache, Genoa based on Zen 4 cores, and Bergamo scaling to 128 cores.
History of the Microprocessor, Part 3
As we celebrate the anniversary of the Intel 4004, this article extends our microprocessor history by covering the top trends from the past decade, including the rise of AI, Nvidia, processor startups, and RISC-V.
50 Billion Transistors in 50 Years
Microprocessors have improved by seven orders of magnitude—from 2,300 to 54 billion transistors—in only five decades. To illustrate these changes, we highlight a handful of industry-defining products.
Apple Scales M1 GPU to the Max
Apple’s M1 Max processor delivers a massive boost in integrated-graphics performance compared with the original M1, offering throughput similar to that of Nvidia’s top-end laptop GPU card.
Order activity for semiconductor equipment hit a new high for the year
Many find Pat Gelsinger’s foundry strategy for Intel to be counter-intuitive. One thing is for sure, his IDM 2.0 strategy is on a Grove-ian level of doing the unexpected.
Copper Replacement. IFS. Shortages Hold Up Fab Capacity Expansion. Semiconductor Inflation.
Engineers always break through Moore's Walls with semiconductor innovation, which is really what Moore's Law is all about. And now a breakthrough out of quantum mechanics development is driving a replacement for copper that is as revolutionary as copper was to aluminum...
SEMICON West 2021 Hybrid to Highlight Solutions to Global Challenges, Smart Technologies, Talent
Optimal readiness and stronger alliances across the semiconductor supply chain to confront future global disruptions will come into sharp focus at SEMICON West 2021 Hybrid, at the Moscone Center in San Francisco.
Semiconductor Sales Jumped Higher
Growth continued to slow due to tougher 2020 comps rather than a real decline. Still, 2021 will be the year in which semiconductor sales blew past a Half-a-Trillion and Logic at a Quarter-Trillion!
Pixel 6 Packs Semicustom Processor
For the first time, Google designed the main processor in its flagship smartphone. The Tensor SoC is based on a Samsung design but integrates Google’s AI accelerator and image processor (ISP).
R-Car S4 Boosts Connected-Car Safety
The Renesas R-Car S4 serves as a vehicle’s central networking hub, but it also integrates ASIL-capable application CPUs, real-time CPUs, and safety microcontrollers along with multiple hardware security features.
Syntiant Grows Into Computer Vision
Following its success in low-power wake-word detection, Syntiant has expanded into computer vision with its newest chip. The NDP200 can handle simple video tasks such as presence detection.
Visibility Is Clear Well into 2022
Order activity for semiconductor equipment hit a new high for the year; All segments edged higher except for Memory; Visibility for equipment manufacturers has expanded well into 2022; At the macro level, demand remains strong, especially on the enterprise side; Businesses are flush with cash and labor-starved so they're ramping up capital spending to become more efficient and productive
SEMI VetWorks: A Guide to Growing Your Talent Pipeline by Recruiting Military Veterans and Active Reservists
As the microelectronics industry looks to fill job vacancies, and as we approach Veterans Day, it’s important to turn our attention to the many contributions of veterans and why hiring them is both the right thing to do and a sound business strategy.
Semiconductor Sales to Pass Half-A-Trillion
Semiconductor Sales growth continues to slow due more to 2020 comps than a real decline. Still, 2021 will be the year in which semiconductor sales blew past a Half-a-Trillion and Logic at a Quarter-Trillion!
Intel’s Foundry Strategy for Growth & IDM 2.0: What’s different?
Many find Pat Gelsinger’s foundry strategy for Intel to be counter-intuitive. One thing is for sure, his IDM 2.0 strategy is on a Grove-ian level of doing the unexpected.
Worldwide Silicon Wafer Shipments Reach Record High in Third Quarter of 2021, SEMI Reports
Worldwide silicon wafer shipments increased 3.3% to 3,649 million square inches in the third quarter of 2021 compared to the previous quarter, a new industry record, the SEMI Silicon Manufacturers Group (SMG) reported in its quarterly analysis of the silicon wafer industry.
ACM Research Announces Orders for Ultra C PR Wet Stripping System for Semi Manufacturing
ACM Research, Inc. (ACM) (NASDAQ: ACMR), a leading supplier of wafer processing solutions for semiconductor and advanced wafer-level packaging (WLP) applications, today announced receipt of two orders for ACM’s Ultra C pr wet stripping system from a leading global integrated device manufacturer (IDM).
FLEX Conference to Co-locate with SEMICON West in 2022
SEMICON West, North America’s premier microelectronics exhibition and conference that unites players across the entire electronics manufacturing and design supply chain, and FLEX Conference, the annual event focused on flexible hybrid and printed electronics innovations, will co-locate at the Moscone Center in San Francisco, July 11-14, 2022.
Semiconductor sales edged higher as IC weather warms
Semiconductor sales jumped by another 1% W/W while Y/Y growth continued to decelerate.
The Number of Design Completions Is Expected to Increase Over 2% in 2021
The number of design completions is expected to increase over 2% in 2021 on the back of a strong semiconductor market.
Google Pixel 6 Pro Teardown
What's inside the Google Pixel 6 Pro Teardown? Contributing authors: Stacy Wegner, Daniel Yang, Radu Trandafir, Aakash Jani The much anticipated Google Pixel 6 Pro has arrived in our lab for teardown. This one took us some time to find! The phone
Alder Lake Steals Gaming-CPU Crown
Intel’s Core i9-12900K chip delivers a double-digit performance advantage over AMD’s fastest Ryzen processor. To do so, it runs at a sweltering 241W while using new superfast DDR5 DRAM.
Cadence HiFi Family Adds a Little One
The new Tensilica HiFi 1 DSP consumes less die area and power than the popular HiFi 3 but adds new instructions that improve performance on Bluetooth 5’s Low Complexity Communication Codec (LC3).
Marvell 5nm Switch Handles 5G RAN
Marvell’s first Ethernet switch built in 5nm technology is for carrier access rather than data centers. The Prestera DX7321 joins the DX73xx family, which handles Ethernet speeds up to 400Gbps.
Q3 Global Semiconductor Sales Increase 27.6% Year-to-Year
The Semiconductor Industry Association (SIA) today announced worldwide sales of semiconductors totaled $144.8 billion during the third quarter of 2021, an increase of 27.6% over the third quarter of 2020 and 7.4% more than the second quarter of 2021.
Savoring the Fall Rise
SOC Mobile and SOC Computing recorded the biggest gains, hitting fresh new highs for the year
Process Control and Optimization
This was the latest of Applied’s series intended to update investors on the nitty-gritty details of modern semiconductor technology, while showing why Applied is leading.
SEMI Applauds Inclusion of Advanced Manufacturing Investment Credit in U.S. Build Back Better Reconciliation Bill
SEMI, the industry association serving the global electronics design and manufacturing supply chain, today applauded the inclusion of an Advanced Manufacturing Investment Credit in the Build Back Better reconciliation bill.
Intel's Foundry Strategy for Growth & Idm 2.0: What's Different?
Many find Pat Gelsinger's foundry strategy for Intel to be counter-intuitive. One thing is for sure, his IDM 2.0 strategy is on a Grove-ian level of doing the unexpected.
Apple’s First GaN Charger
Apple's First GaN Charger Stephen Russell October 28, 2021 It has been heavily rumoured and anticipated for a few years now, but we have finally seen Apple make the switch to using gallium nitride (GaN) as the power transistor in one of their
Semiconductor Sales to Pass Half-A-Trillion
Still, 2021 will be the year in which semiconductor sales blew past a Half-a-Trillion and Logic at a Quarter-Trillion!
Apple A15 Extends Battery Life
The new A15 processor doubles its system cache to an industry-leading 32MB and drives double-digit performance gains across the CPU, GPU, and AI engine, while boosting battery life.
Order Activity Is Straight and Level
With lead times for semiconductor equipment stretching well into 2022, equipment manufacturers are feeling increasingly optimistic about next year
Coherent Logix Debuts Edge-AI SoC
After serving niche applications with its reconfigurable designs, Coherent Logix introduced an SoC that will serve as a development vehicle for edge AI, software-defined radio, and other real-time applications.
Strategy and Tactics: Samsung’s Strategy Shift and Why We Climb Exponentials
This month was treated with SFF, or the 2021 Samsung Foundry Forum. As expected, it was a technology tour de force. What was unexpected was a fundamental shift in strategic positioning.
North American Semiconductor Equipment Industry Posts September 2021 Billings
North America-based semiconductor equipment manufacturers posted $3.72 billion in billings worldwide in September 2021 (three-month moving average basis), according to the September Equipment Market Data Subscription (EMDS) Billings Report published today by SEMI.
Applied Materials' Master Class on Process Control and Optimization
This was the latest of Applied's series intended to update investors on the nitty-gritty details of modern semiconductor technology, while showing why Applied is leading.
Semiconductor Sales Turned Up
Semiconductor Sales growth continues to slow due more to 2020 comps than a real decline.
ASML reports €5.2 billion net sales and €1.7 billion net income in Q3 2021
ASML Holding NV (ASML) has published its 2021 third-quarter results. Q3 net sales of €5.2 billion, gross margin of 51.7%, net income of €1.7 billion; Q3 net bookings of €6.2 billion; ASML expects Q4 2021 net sales between €4.9 billion and €5.2 billion and a gross margin between 51% and 52%.
Webinar: Technical and Financial Teardown of the Apple iPhone 13 Pro
TechInsights and Bloomberg Intelligence Analysts presented their findings on this year’s Apple flagship phone, the iPhone 13 Pro, in this webinar presentation.
Calmly Building Next Year's Nest
Memory activity slipped by another point but the decline was offset by SOC Mobile, which jumped higher
SEMICON Japan 2021 Returns Live in Tokyo to Highlight Semiconductor Industry Innovation, Growth Opportunities
Leading-edge technologies including artificial intelligence (AI), 5G, Internet of Things (IoT), MEMS and sensors, quantum computing and advanced 3D packaging will take center stage at SEMICON Japan 2021 Hybrid as visionary keynote speakers and industry leaders gather to share insights into the latest trends and innovations driving semiconductor industry growth.
SEMICON Europa 2021 to Spotlight Smart Technologies, Digital Transformation, Semiconductor Industry Growth
With leading-edge technologies such as artificial intelligence (AI), 5G and robotics at the heart of digital transformation, SEMICON Europa 2021 will convene industry visionaries and experts for insights into the latest innovations and smart applications powering the next wave of semiconductor industry growth.
Google Builds Video-Transcoder Chip
To better support its massive YouTube business, Google has created its own video-transcoding chip. The VCU design offers a 40x performance gain compared with CPUs.
Loihi 2 Advances Spiking R&D
Intel Labs has developed a second-generation neuromorphic processor using the Intel 4 process. It’s also offering the open-source Lava framework to advance neuromorphic software.
Semiconductor sales took a deep post-September dive last week
Comments, Questions & Answers: IBM's Telum processor. DRAM Contract vs Spot Prices. Downturn Warning Signs. Excessive CapEx Exuberance.
Global Silicon Wafer Shipments Projected to Log Robust Growth Through 2024, SEMI Reports
Global silicon wafer shipments are projected to register robust growth through 2024, with wafer area increasing 13.9% year-over-year in 2021 to a record high of nearly 14,000 millions of square inches (MSI), SEMI reported today in its annual silicon shipment forecast for the semiconductor industry.
Applied Materials Unveils eBeam Metrology System that Enables a New Playbook for Patterning Advanced Logic and Memory Chips
Applied Materials, Inc. today unveiled a unique eBeam metrology system that enables a new playbook for patterning control based on massive on-device, across-wafer and through-layer measurements.
Veeco Receives Multi-System Order for New ADS-800 SRD™ System Targeting Power Electronics
Veeco Instruments Inc. (NASDAQ: VECO) announced today that it has received a multi-system order for its new ADS-800 SRD™ System from a leading semiconductor supplier.
ACM Research Announces Order for SAPS Evaluation Tool from Major Global Semiconductor Manufacturer
ACM Research, Inc. (ACM) (NASDAQ: ACMR), a leading supplier of wafer processing solutions for semiconductor and advanced wafer-level packaging (WLP) applications, today announced the receipt of an evaluation tool order for its Ultra C SAPS frontside cleaning tool from a major global semiconductor manufacturer.
Samsung's Strategy Shift and Why We Climb Exponentials
Informing the media, public, and investors about semiconductor manufacturing trends and semiconductor industry outlook in wafer fab equipment, ATE, and assembly tools throughout the week with data and summaries from VLSI's latest reports and data analytic programs.
TechInsights Acquires The Linley Group to Further Expand Its Platform of Semiconductor Content
TechInsights Acquires The Linley Group to Further Expand Its Platform of Semiconductor Content OTTAWA, ON, October 14, 2021 - TechInsights Inc. is pleased to announce the acquisition of The Linley Group, Inc., an industry-leading source for
Semiconductor Sales Take a Deep Dive
Informing the media, public, and investors about semiconductor manufacturing trends and semiconductor industry outlook in wafer fab equipment, ATE, and assembly tools throughout the week with data and summaries from VLSI's latest reports and data analytic programs.
Power and Compound Fab Capacity Projected to Top Record 10 Million Wafers Per Month in 2023, SEMI Reports
Fueled by pent-up demand for automotive electronics caused by semiconductor supply chain disruptions wrought by the pandemic, worldwide installed capacity for power and compound semiconductor fabs is projected to top 10 million wafers per month (WPM) for the first time in 2023, growing to 10.24 million WPM (in 200mm equivalents) and climbing to 10.6 million WPM in 2024, SEMI announced today in its Power & Compound Fab Report to 2024.
Anton 3 Cracks Molecular Dynamics
Billionaire David E. Shaw has spent two decades quietly building highly specialized supercomputers. Anton 3 is his newest ASIC for accelerating molecular-dynamics simulations.
Cadence Takes Tensilica AI to the Max
The new Tensilica AI lineup comprises three product tiers that Cadence calls AI Base, AI Boost, and AI Max, along with a new accelerator and a multicore model that can deliver up to 32 TOPS.
Samsung Demos In-Memory Processing
The company disclosed architecture and performance details for the processor-in-memory (PIM) version of its popular Aquabolt HBM2. The HBM-PIM, dubbed Aquabolt-XL, adds compute to a drop-in HBM2 stack.
The Industry Is Soaring but Carries a Tether of Caution
Equipment suppliers continue to see a very strong level of activity which is why they expect 2022 to be another solid year for them.
The Industry Is Soaring but Carries a Tether of Caution
Informing the media, public, and investors about semiconductor manufacturing trends and semiconductor industry outlook in wafer fab equipment, ATE, and assembly tools throughout the week with data and summaries from VLSI's latest reports and data analytic programs.
Downturn Warning Signs. Excessive CapEx Exuberance
Comments, Questions & Answers: IBM's Telum processor. DRAM Contract vs Spot Prices. Downturn Warning Signs. Excessive CapEx Exuberance.
Semiconductor Market on Track to Hit $0.6t in 2021
The positive sign that electronic prices are turning up, Wafer prices are 40% higher than a year ago, August WSTS was really strong, and Power Semiconductors.
Semiconductor Sales Closing in on a Record $600m Year
Semiconductor sales closed in on $12B, another all-time weekly record. Semiconductor Sales are closing in on a record $600M in 2021 with 24% growth.
IBM’s Telum processor. Aart deGeus on EDA-AI.
IBM is a powerhouse in AI, as it has always been a powerhouse in semiconductor research. But this is often in the shadow of west-coast technology giants who dominate west-centric conferences.
Semiconductor Industry Strategic Trends
This is the talk Dan Hutcheson gave for the Semiconductor Industry Association’s state of the U.S. semiconductor industry webinar.
YMTC 128L 3D Xtacking 2.0 TLC NAND
YMTC 128L Xtacking 2.0 for SSD! Finally, they might catch up with Samsung, SK hynix, and KIOXIA Dr. Jeongdong Choe September 23, 2021 TechInsights just found and quickly reviewed YMTC 128L TLC die removed from Asgard Memory (Powev Electronic
Apple iPhone 13 Pro Teardown
We received the iPhone 13 and iPhone 13 Pro in our labs and identification and early costing analysis are available below.
TSMC's Gift to the Industry
This week Andrea Lati, Dan Hutcheson, Jason Abt, and Risto Puhakka discuss what insiders are saying about: TSMC’s gift to the industry, 2021 forecast updates: Few notable changes, 2022 the big question of much higher growth, and the next big thing in image sensors.
Whitepaper - Software is Critical to Edge-AI Deployment
This white paper describes the importance of a flexible and robust software stack to edge-AI deployment. Processor vendors and intellectual-property licensers often tout the theoretical performance of their designs, but the neural-network compiler, run-time engine, and scheduler are just as critical to realizing that potential in production systems. The Linley Group prepared this paper, which EdgeCortix sponsored, but the opinions and analysis are those of the author.
Europe's Semiconductor Share Loss and Most Advanced Memory Maker
This week Andrea Lati, Dan Hutcheson, and Jason Abt discuss what insiders are saying about: Has Europe really lost so much share of semiconductor manufacturing, going from 44% in 1990 to 9% in 2020?, Semiconductor forecast of 26% for ICs and current market status, the DRAM disconnect of spot prices and contract prices and Memory.
Fitbit Luxe Teardown
Will the Fitbit Charge 5 Outshine the Fitbit Luxe? Stacy Wegner September 9, 2021 We don’t know, and we do not comment on whether one device is better than its previous generation. What we do, is look at and compare generations of devices. And while
Semiconductor Communications Market Share and Forecast
Provides five-year revenue forecasts for many categories of communications semiconductors, including Ethernet products, smart NICs, embedded and server processors, and FPGAs.
Disruptive Technology: TSMC 22ULL eMRAM
TSMC 22ULL eMRAM Die removed from Ambiq™ Apollo4 Another Disruptive Technology on Embedded Memory! Another disruptive product on embedded Memory (eMemory) has been arrived and quickly reviewed! TSMC has successfully developed and commercialized 22 nm
AMD's Chiplet Roadmap - the Nvidia-Arm Deal - Forecast
This week Andrea Lati, Dan Hutcheson, Jason Abt, and Risto Puhakka discuss what insiders are saying about. What is AMD doing next with chiplets? UK pumps the brakes on the Nvidia-Arm deal. What’s up at Intel and new processor segmentation? What’s driving continued growth in semiconductor equipment?
Bite-sized Webinar On-Demand: A Comparison of GaN Technology Approaches in USB-C Power Adapters
TechInsights has examined several GaN design wins in wall charger technology. This 22-minute presentation provides a quick comparison of the different GaN integration strategies that we have observed in recent USB-C adapters.
Intelligent move: Ottawa's TechInsights acquires San Jose-based market research firm VLSI
An Ottawa company that gives global semiconductor giants detailed breakdowns of how their competitors’ technology works has joined forces with a leading Silicon Valley market research firm as it looks to broaden its corporate intelligence skills.
TechInsights acquires VLSIresearch… with Gavin Carter, CEO of TechInsights
It’s time to bring the core-technology and market analysis closer again, which explains why TechInsights has acquired VLSIresearch. In this interview, Dan Hutcheson has a conversation about this with Gavin Carter from TechInsights, where he’s CEO.
TechInsights and VLSIresearch join forces
Innovation Decisions Accelerated: TechInsights Acquires VLSIresearch TechInsights is pleased to announce the acquisition of VLSI Research Inc., the award-winning provider of market research and economic analysis covering the semiconductor supply
Micron 176L 3D NAND
NAND Memory Technology Micron B47R 3D CTF CuA NAND Die, the World’s First 176L (195T)! Micron’s 176L 3D NAND is the world’s first 176L 3D NAND Flash memory. TechInsights just found the 512Gb 176L die (B47R die markings) and quickly viewed its process
Latest SJ-MOSFET Technology, Can It Still Compete with Wide Bandgap?
Power Semiconductor Technology Latest SJ-MOSFET Technology, Can It Still Compete with Wide Bandgap? Now both silicon carbide (SiC) and gallium nitride (GaN) products are having an impact in the marketplace, it is easy to think that there is no longer
The Auto Shortage Is a Riddle, Wrapped in a Mystery, Inside an Enigma
This week Andrea Lati, Dan Hutcheson, John West, and Risto Puhakka discuss what insiders are saying about the Auto IC shortage and how the 4Q21 Forecast is shaping up.
Advanced Micro-Fabrication Equipment Inc. China earns its second triple crown
Provides state-of-the-art equipment, process knowhow, and all-star support to customers in front-end semiconductor manufacturing, back-end wafer level packaging, LED production, MEMS applications, and other semiconductor fabrication processes.
Micron DDR5 DIMM Technology
DRAM Memory Technology Disruptive Product: What technology node for 1st DDR5 DIMM? DDR5 is a new generation of Memory! All the major DRAM players are moving forward to a faster DRAM, DDR5. DDR5 improves power management (1.1V vs. 1.2V for DDR4) as
ASML awarded five stars across all of its categories
As the leading lithography innovator in the chip industry, ASML’s solutions in lithography and process control have been making it possible for chip makers progress in better and faster devices.
ASM Pacific Technology secures its fifth triple crown
ASM Pacific Technology, the world leader in the supply of semiconductor assembly and packaging equipment, awarded 4 VLSI Stars with a solid 8.47.
Micron 1α DRAM Technology
DRAM Memory Technology Micron D1α, '14 nm'! The Most Advanced Node Ever on DRAM! D1α! It’s 14 nm! After a quick view on Micron D1α die (die markings: Z41C) and cell design, it’s the most advanced technology node ever on DRAM. Further, it’s the first
EUV光刻机争夺战,升级!(EUV lithography machine battle, upgrade!)
The battle for EUV lithography machines is gradually intensifying.
Memory Technology Highlights from TechInsights 2021 Webinar
Due to strong and growing demands for memory chips from storage, mobile, and AIOT market, all the memory players are striving to increase density/performance, reduce manufacturing cost, and develop the new and innovative technologies for each generation.
How many mini-LEDs did Apple pack into the iPad Pro?
Teardown Technology Stacy Wegner How many mini-LEDs did Apple pack into the iPad Pro? An in-depth look at the Liquid Retina XDR display Note: this article provides an update to the Displays section of our lengthier article on the TechInsights
Electric Vehicle Technologies Webinar: An in-depth analysis from the market to the semiconductor
This webinar will be jointly delivered by Strategy Analytics, Munro & Associates, and TechInsights. Each organization brings a wealth of knowledge to the discussion, with Strategy Analytics covering market information, Munro & Associates covering electronic systems, schematics, components, and mechanical structures, and TechInsights analyzing components at the semiconductor level.
Intel 2nd Generation XPoint Memory
Embedded and Emerging Memory Technology Here, we have the Intel 2 nd gen. XPoint Memory die! Finally, we’ve found the Intel XPoint TM Memory 2nd generation die! We’ve quickly viewed the die removed from Intel OptaneTM SSD DC P5800X 400GB (Model
Teardown: Apple iPhone 12 Pro Max 5G
Electronics360 News Desk: The following is a partial deep dive into a Teardown of the Apple iPhone 12 Pro Max 5G smartphone conducted by TechInsights.
Apple iPad Pro Teardown
In this year’s iPad Pro, the new 12.9” mini-LED display and new TrueDepth camera system may be taking ‘Center Stage’ for many users, TechInsights will continue to look deeper for other semiconductor and design winners inside the new iPad Pro.
Groundbreaking SenSWIR Sensor by Sony- IMX990/IMX991
This approach presents two advantages, the Cu-Cu DBI can help reduce the overall height of the Die while Die-to-Wafer hybridization can help reduce the per-Die cost, thereby facilitating greater utilization of Sony’s SWIR technology for a wide range of applications. Recently, TechInsights revealed the first detailed cross-sectional image of the 1.34MP.
Webinar: The Power Revolution - Innovation and IP in Power Management Technologies
The panel will discuss the making of power devices using semiconductors, power management technologies and products that use power efficiently, and the enforcement of the intellectual property rights associated with semiconductors and power management technologies.
Apple Homepod Mini Teardown
May 5, 2021 Teardown Technology A quick look inside the Apple Homepod Mini design wins, but is there a secret part waiting to be intialized? The Apple HomePod Mini A2374 is a voice-interactive smart speaker with Siri assistant. It features four
Guide to Processors for Deep Learning
Provides market share data for many categories of communications semiconductors, including Ethernet products, processors, and FPGAs.
Intel's 2nd Generation XPoint Memory
April 30, 2021 Dr. Jeongdong Choe Intel's 2 nd Generation XPoint Memory - Will it be worth the long wait ahead? In 2017, TechInsights analyzed the details on Intel 1 st gen. XPoint Memory (Optane TM Memory 16GB, MEMPEK1W016GA) including structure
Qualcomm extends their mmWave leadership position
April 21, 2021 Kyle Nolan Qualcomm extends their mmWave leadership position 5G mmWave is still far from worldwide adoption but Qualcomm is keeping their foot on the pedal despite no one really close on their tail. One of Qualcomm’s Sr. Directors of
Samsung D1z LPDDR5 DRAM with EUV Lithography (EUVL) - Memory Blog
April 16, 2021 Dr. Jeongdong Choe Originally published in Samsung D1z LPDDR5 DRAM with EUV Lithography (EUVL) Finally! After months of waiting, we have seen Samsung Electronics’ applied extreme ultraviolet (EUV) lithography technology for D1z DRAM in
World’s First 1 Gb 28 nm STT-MRAM Product - by Everspin
March 30, 2021 Embedded & Emerging Memory World’s First 1 Gb 28 nm STT-MRAM Product - by Everspin Everspin’s new 1-Gigabit (Gb) Spin Torque Transfer Magneto-resistive Random Access Memory (STT-MRAM) device with a 28 nm process is the world’s first 1
TechInsights identifies some of Blackberry’s highest value patent assets through portfolio analysis
March 23, 2021 Abdullah Rahal TechInsights identifies some of Blackberry’s highest value patent assets through portfolio analysis Download the Summary of Analysis TechInsights’ analysts have examined the Blackberry patent portfolio and partitioned it
KIOXIA’s new XL-FLASH for ultra-low latency NAND application
March 23, 2021 Dr. Jeongdong Choe KIOXIA’s new XL-FLASH for ultra-low latency NAND application We’ve just found a new XL-FLASH product with 96L BiCS4 NAND cell architecture from KIOXIA. According to KIOXIA, XL-FLASH is extremely low-latency, high
Dynamic Vision Sensors – A Brief Overview - Image Sensor TechStream Blog
Dynamic Vison Sensors are Asynchronous imagers. Much like the human eye, they are designed to respond to changes in brightness, with no “Frames” to capture
Advanced 1 Gb 28 nm STT-MRAM products from Everspin Technologies
March 16, 2021 Dr. Jeongdong Choe Advanced 1 Gb 28 nm STT-MRAM products from Everspin Technologies We’ve been waiting for a long time to see the technology details of Everspin’s new stand-alone 1-Gigabit (Gb) Spin Torque Transfer Magneto-resistive
A New and advanced ReRAM from Fujitsu
March 9, 2021 Dr. Jeongdong Choe A New and advanced ReRAM from Fujitsu We’ve been analyzing a new ReRAM product from Fujitsu Semiconductor. Fujitsu 8 Mb MB85AS8MT is the world’s largest density as a stand-alone mass-produced ReRAM product. The
GaN USB-C Charger Market Heating Up in 2021
March 10, 2021 Sinjin Dixon-Warren Power Technology GaN USB-C Charger Market Heating Up in 2021 Gallium nitride (GaN) based high power USB chargers for smartphones, tablets and laptops is a growing area in the power electronics market. TechInsights
Prospects for Commercial High Voltage Silicon Carbide Devices - a Summary
March 03, 2021 Dr Peter Gammon Power Technology Prospects for Commercial High Voltage Silicon Carbide Devices - a Summary SiC power devices have the potential to reach voltage ratings beyond 30 kV, yet today, SiC chip manufacturers are focussed on
Webinar: Selected Imager and Sensor Trends and Comparisons
The intent of this webinar is to share selected content from our 2020 Image Sensor Device Essentials (DEF) subscription annual presentation.
Moore’s Law: Dead or Alive
Moore's Law: is it Dead or Alive? It’s a perennial subject that has come back in 2021. Without the chip industry you would not have a smartphone or a notebook computer at your side … no Facebook or Google either … only a slide rule, a pen, and paper
LiDAR 101 – Solid-State and Mechanical LiDARs
February 19, 2021 Automotive Technology LiDAR 101 – Solid-State and Mechanical LiDARs 2020 has been an exciting year for LiDAR manufacturers. Five LiDAR companies (Velodyne Inc, Luminar Technologies Inc, Innoviz Technologies Ltd, Aeva Inc, and Ouster
Webinar: Gearing Up for the Electric Vehicle (EV) Revolution
In this seminar we will discuss the major players, their technology choices and how they tie into the strict reliability standards required by the automotive industry.
Qualcomm Snapdragon 888 in the Xiaomi Mi 11 brings a new 5 nm entrant to market
February 03, 2021 Logic Disruptive Technology Qualcomm Snapdragon 888 in the Xiaomi Mi 11 brings a new 5 nm entrant to market With their release of the Snapdragon 888, Qualcomm finds itself in competition with other 5 nm offerings - the Apple A14 and
A Guide to Processors for Deep Learning
Covers processors for accelerating deep learning, neural networks, and vision processing for AI training and inference in data centers, autonomous vehicles, and client devices.
Supporting IP strategy in the semiconductor industry
Supporting IP strategy in the semiconductor industry Growing complexity of the chip market has made it harder than ever for intellectual property owners to monitor developments, making reverse engineering a crucial process The breadth of reverse
Analysts’ Choice Winners for 2020
The Linley Group recognizes 2020’s top products in the categories of data center, PC, embedded, mobile, networking, processor IP, and related technology. And the winners are…
Sony d-ToF Sensor found in Apple’s new LiDAR camera
January 19, 2021 Image Sensor Disruptive Technology Sony d-ToF Sensor found in Apple’s new LiDAR camera Apple’s LiDAR camera was first observed in 2020’s iPad Pro; as expected, we saw that same part used in the iPhone 12 Pro in October. Industry
2021 Forecast ... with Andrea Lati
2020 was a tumultuous year. Semiconductors decoupled from the macroeconomy and semiconductor production equipment demand boomed.
Webinar: Increasing Value of Semiconductor IP in the Automotive Supply Chain
Today, automobiles are more than just transportation devices. Automobiles are hotspots with entertainment systems, state of the art communications capabilities, and cutting edge displays that do far more than navigation.
2020 Semiconductor Mask Maker’s Survey ... a conversation with Aki Fujimura of the eBeam Initiative
Aki Fujimura discusses what the eBeam Initiative found in its 2020 Mask making survey. Every year, they run two surveys of mask makers and its luminaries.
Teardown: Velodyne Lidar Puck VLP-16 sensor (Electronics360)
A deep dive into the major components used in the light detection and ranging technology. Posted in Electronics360.
Webinar: Emerging GaN Technology in USB-C Power Delivery Adapters
In this presentation we will survey the different GaN integration strategies that we have observed in recently procured USB adapters. It is believed that GaN brings compelling advantages in terms of efficiency for adapter and other power supply products; however, achieving these efficiencies in a cost-effective manner can be challenging.
3D flash memory, 176 layers!
So far, NAND Flash has shown a white-hot stage. Not long ago, storage vendors were still "seeing the scenery on the high platform of flash memory" with 128 layers.
Webinar: Memory Technology 2020 and Beyond - NAND, DRAM, Emerging and Embedded Memory Technology Trends
Memory Technology 2020 and Beyond NAND, DRAM, Emerging and Embedded Memory Technology Trends This webinar was presented by TechInsights In this webinar, Dr. Jeongdong Choe will present his detailed review of the latest NAND, DRAM, emerging and
Webinar: An Examination of the Technical and Financial Implications of the Apple iPhone 12
The information presented in this webinar will be of greatest interest to those looking to understand the semiconductor design wins of the iPhone 12, and related financial implications to companies like Qualcomm, Broadcom, Skyworks, Qorvo, Cirrus Logic, Dialog Semiconductor, and more.
Micro Loading And Its Impact On Device Performance
How SEMulator3D can be used to study micro loading and manufacturing variability in an advanced DRAM process that exhibits a wiggling AA profile.
Webinar: ALD/ALE Process in Commercially Available Memory Devices
This presentation will examine some of the different structures we have seen through the evolution of these technologies, in particular the latest 3D-NAND and DRAM parts. We will also look at several historical applications of ALD/ALE technology that have been observed through reverse engineering.
iPhone Camera History: iPhone 12's Alternative and Normal
The evolutionary history of the iPhone camera can also be seen as the history of the development of the phone CIS, even if the iPhone does not fully follow the CIS technology trends to advance. Just take this opportunity, but also through the last
Webinar: Space, Power, BEAMs – Shorten the trek to gain the edge in 5G transceiver design and manufacturing
During this 30-minute presentation our experts will provide exclusive insight of our findings on the latest 5G mmWave transceiver architecture with a focus on the solution, including the QTM052 Antenna module from Qualcomm.
SK hynix 128L 3D PUC NAND (4D NAND)
SK hynix has released the world’s first 128-layer (128L) 3D NAND, which they have termed 4D NAND. This is their second NAND generation built using Periphery Under Cell (PUC) architecture; the first was their 96L NAND. In PUC architecture, peripheral
Webinar: ALD/ALE Process in Commercially Available Logic Devices
This presentation on the Atomic Layer Deposition/Atomic Layer Etching (ALD/ALE) process examines some of the different structures we have seen during the evolution of these logic technologies, in particular the latest 7 nm and 10 nm devices.
60 years of the Semiconductor industry and its changing patent strategy
Contributed by: Arabinda Das Today the semiconductor industry is a behemoth whose annual sales revenue crosses US $400 Billion. Over its 60 years of existence, this mature industry has experimented with various models like integrated device
Memory Process Webinar: 3D NAND Word Line Pad (WLP)
In this webinar, we present a comparison of 9x-layer 3D NAND devices from major manufacturers: Samsung, KIOXIA / Western Digital, Intel / Micron and SK hynix. The process sequence is discussed, with emphasis on the word line pad (WLP), also commonly known as staircase.
On Demand Webinar: A Review of the Power Device Ecosystem & IP Landscape for GaN
This webinar will be a review of the 650 V power MOSFET ecosystem and IP landscape. We will discuss the technologies in light of an early seminal GaN HEMT patent, demonstrating how reverse engineering can show innovation.
Apple iPhone 11 Pro Teardowns Look Encouraging for STMicro and Sony
STMicroelectronics and Sony each appear to be supplying four chips for Apple's latest flagship iPhones. Many other historical iPhone suppliers also make appearances in the latest teardowns.
Apple iPhone 11 Pro Max Teardown
Posted: September 23, 2019 - Updated: October 1, 2019 Contributing Authors: Daniel Yang, Stacy Wegner, Albert Cowsky We are always excited to see a new Apple iPhone, and this year’s iPhone 11 line is no exception. This is the first ever Apple event
Tesla Poised to Apply Maxwell’s Dry Electrode Innovation to Battery Cell Fabrication
Posted: February 7, 2019 Contributing Authors: Marty Bijman and Jim Hines Figure 1 – Tesla's portfolio including Maxwell and SolarCity acquisitions Figure 2 – Tesla Portfolio landscapes showing which inventions originated from Tesla, SolarCity, and
Creating Better Applications Through Patent Strengthening
Patent strengthening is the term we use to refer to the process of achieving the greatest potential for value from a patent during the prosecution – before a patent is even granted.
What does Uber’s patent landscape look like?
Posted: February 27, 2018 Contributing Authors: Marty Bijman Recently, IAM’s Timothy Au posted a blog providing a look at Uber’s portfolio. The blog references Uber’s portfolio makeup, and provides a chronicle of their IP events over the last 5 years
A Guide to Multicore Processors
Covers 32- and 64-bit embedded processors with four or more CPU cores that are used for wires and wireless communications, storage, security, and other applications.
A Guide to Ethernet Switch and PHY Chips
Covers data-center switch chips for 10G, 25G, 40G, 50G, and 100G Ethernet. Also includes physical-layer (PHY) chips for 10GBase-T and 100G Ethernet.
The 10nm Process Rollout Continues to Evolve
Explore the latest advancements in 10nm semiconductor technology, including Samsung's 10nm LPE and TSMC's 10nm FinFET processes. Learn about their impact on mobile devices like the Galaxy S8 and iPad Pro2, and discover how these innovations are driving the semiconductor industry forward.
A Guide to Processors for IoT and Wearables
Covers processors and connectivity chips for IoT clients and wearable devices, focusing primarily on single-chip solutions with integrated radios.
A Guide to Embedded Processors
Covers 32- and 64-bit embedded processors with one to four CPU cores that are used for networking, automotive, consumer devices, industrial control, medical imaging, and more.