DRAM Memory Technology Roadmap Update for Q2 2024

 

The second quarter of 2024 has brought significant updates to the DRAM technology roadmap, highlighting advancements and emerging trends. DRAM remains crucial for applications like DDR5, LPDDR5/5X, GDDR6/6X, HBM2E/HBM3/HBM3E, and Low Latency DRAM (LLDRAM). Innovations such as processing-in-memory (PIM) and Compute Express Link-Processing-near-Memory (CXL-PNM) are enhancing performance and efficiency.

Discover the latest advancements in DRAM technology as of Q2 2024, including updates on EUV lithography, HKMG processes, and emerging 3D DRAM developments from industry leaders like Samsung, SK hynix, and Micron.

Samsung and SK hynix have commercialized D1a and D1b cell-designed products, including DDR5, LPDDR4X, LPDDR5, and LPDDR5X, with the smallest 12nm-class DRAM cell designs. Both companies lead in adopting EUV lithography, while Micron continues with ArF and ArFi-based patterning up to their 1α and 1β generations, planning to introduce EUV with their 1γ generation. Samsung expanded EUV lithography to five or more masks in the D1a and D1b generations. SK hynix follows a similar EUVL strategy, having adopted it for D1a and D1b generations, with plans to increase EUVL steps in future generations.

High-K Metal Gate (HKMG) technology is becoming more prevalent. Samsung first used HKMG for peripheral structures in D1x GDDR6 chips and extended it to D1y DDR5 chips. Micron has implemented HKMG in D1z graphic DRAMs and will extend this to all DRAM types from the D1β generation. SK hynix incorporated HKMG in D1y and D1a GDDR6, and recently in D1b DDR5 devices.

Taiwanese manufacturers like Nanya, Winbond, and PowerChip (PSMC) offer 30-nm and 20nm-class DRAM products. China's CXMT has released G3 DDR3L and LPDDR4X DRAM chips and is developing G4 and G5 generations for DDR5 applications.

Early next year will see the release of D1c mass-produced DRAM by major players, followed by the final 10nm-class DRAM devices (D1d or D1δ nodes) by 2026 or 2027. By 2030, DRAM technology is expected to scale down to single-digit nanometer nodes, with 0a, 0b, 0c or 0α, 0β, and 0γ generations. Samsung is developing VS-CAT and VCT 3D DRAMs, while SK hynix and Micron focus on vertically stacked DRAMs. The future of DRAM technology looks promising, set to meet the increasing demands of high-performance applications and emerging technologies.

The authoritative information platform to the semiconductor industry.

Discover why TechInsights stands as the semiconductor industry's most trusted source for actionable, in-depth intelligence.